DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (2023)

Table of Contents
Zusammenstellung Schnelle Lösungsvorschläge Hyperlinks Finden Sie alle Referenzen / Leser / Autoren Einschränkungen anzeigen Instanzen anzeigen Spähen Inhaltsassistent Refactoring-Operationen Formatierung Semmelbrösel Codefabrik Diagramme Makros Ansichten Semantische Suche Code-Linse Inhaltsfilter Wahre Integration Kassenhaken Ein Problem melden 23.1.12 (23. Mai 2023) Gemeinsam SystemVerilog VHDL 23.1.11 (9. Mai 2023) Gemeinsam SystemVerilog VHDL 23.1.10 (25. April 2023) Gemeinsam SystemVerilog VHDL 23.1.9 (12. April 2023) Gemeinsam SystemVerilog VHDL 23.1.8 (29. März 2023) Gemeinsam SystemVerilog VHDL 23.1.7 (15. März 2023) Gemeinsam SystemVerilog VHDL 23.1.6 (1. März 2023) Gemeinsam SystemVerilog VHDL 23.1.5 (21. Februar 2023) Gemeinsam SystemVerilog VHDL 23.1.4 (8. Februar 2023) Gemeinsam SystemVerilog VHDL 23.1.3 (31. Januar 2023) Gemeinsam 23.1.2 (25. Januar 2023) Gemeinsam 23.1.1 (19. Januar 2023) Gemeinsam SystemVerilog VHDL 22.1.39 (20. Dezember 2022) Gemeinsam SystemVerilog VHDL 22.1.38 (14. Dezember 2022) SystemVerilog VHDL 22.1.37 (6. Dezember 2022) Gemeinsam SystemVerilog 22.1.36 (22. November 2022) Gemeinsam SystemVerilog VHDL 22.1.35 (17. November 2022) Gemeinsam SystemVerilog VHDL 22.1.34 (8. November 2022) Gemeinsam SystemVerilog VHDL 22.1.33 (2. November 2022) Gemeinsam 22.1.32 (1. November 2022) Gemeinsam SystemVerilog VHDL 22.1.31 (20. Oktober 2022) SystemVerilog VHDL 22.1.30 (11. Oktober 2022) Gemeinsam SystemVerilog VHDL 22.1.28 (28. September 2022) Gemeinsam SystemVerilog VHDL 22.1.27 (13. September 2022) Gemeinsam SystemVerilog 22.1.26 (7. September 2022) Gemeinsam SystemVerilog 22.1.25 (30. August 2022) Gemeinsam SystemVerilog VHDL 22.1.24 (22. August 2022) Gemeinsam SystemVerilog 22.1.23 (16. August 2022) Gemeinsam SystemVerilog VHDL 22.1.22 (2. August 2022) Gemeinsam SystemVerilog VHDL 22.1.21 (21. Juli 2022) Gemeinsam SystemVerilog VHDL 22.1.20 (11. Juli 2022) Gemeinsam SystemVerilog 22.1.19 (28. Juni 2022) Gemeinsam SystemVerilog VHDL 22.1.18 (15. Juni 2022) Gemeinsam SystemVerilog VHDL 22.1.17 (8. Juni 2022) Gemeinsam SystemVerilog VHDL 22.1.16 (31. Mai 2022) Gemeinsam SystemVerilog VHDL 22.1.15 (18. Mai 2022) Gemeinsam 22.1.14 (17. Mai 2022) Gemeinsam 22.1.13 (9. Mai 2022) Gemeinsam SystemVerilog VHDL 22.1.12 (3. Mai 2022) Gemeinsam SystemVerilog VHDL 22.1.11 (18. April 2022) Gemeinsam SystemVerilog VHDL 22.1.10 (12. April 2022) Gemeinsam SystemVerilog VHDL 22.1.9 (30. März 2022) Gemeinsam SystemVerilog VHDL 22.1.8 (15. März 2022) Gemeinsam SystemVerilog 22.1.7 (2. März 2022) Gemeinsam SystemVerilog VHDL 22.1.6 (23. Februar 2022) Gemeinsam Merkmale SystemVerilog Leistung Merkmale VHDL 22.1.5 (16. Februar 2022) Gemeinsam SystemVerilog 22.1.4 (8. Februar 2022) Gemeinsam SystemVerilog 22.1.3 (31. Januar 2022) Gemeinsam SystemVerilog 22.1.2 (26. Januar 2022) Gemeinsam SystemVerilog 22.1.1 (18. Januar 2022) Gemeinsam SystemVerilog VHDL 21.1.53 (22. Dezember 2021) Gemeinsam SystemVerilog 21.1.52 (14. Dezember 2021) 21.1.51 (6. Dezember 2021) 21.1.50 (23. November 2021) 21.1.48 (16. November 2021) 21.1.47 (8. November 2021) 21.1.46 (2. November 2021) 21.1.45 (26. Oktober 2021) 21.1.44 (19. Oktober 2021) 21.1.43 (11. Oktober 2021) 21.1.41 (27. September 2021) 21.1.40 (21. September 2021) 21.1.39 (15. September 2021) 21.1.38 (8. September 2021) 21.1.36 (30. August 2021) 21.1.35 (23. August 2021) 21.1.34 (16. August 2021) 21.1.33 (9. August 2021) 21.1.32 (3. August 2021) 21.1.31 (27. Juli 2021) 21.1.30 (20. Juli 2021) 21.1.29 (12. Juli 2021) 21.1.28 (6. Juli 2021) 21.1.27 (28. Juni 2021) 21.1.26 (22. Juni 2021) 21.1.25 (16. Juni 2021) 21.1.22 (24. Mai 2021) 21.1.21 (18. Mai 2021) 21.1.20 (17. Mai 2021) 21.1.14 (13. April 2021) 21.1.13 (5. April 2021) 21.1.12 (30. März 2021) 21.1.11 (22. März 2021) 21.1.10 (15. März 2021) 21.1.9 (8. März 2021) 21.1.7 (22. Februar 2021) 21.1.6 (15. Februar 2021) 21.1.4 (2. Februar 2021) 21.1.2 (18. Januar 2021) 21.1.1 (11. Januar 2021) 20.1.44 (21. Dezember 2020) 20.1.42 (4. Dezember 2020) 20.1.41 (20. November 2020) 20.1.39 (9. November 2020) 20.1.38 (30. Oktober 2020) 20.1.37 (23. Oktober 2020) 20.1.36 (16. Oktober 2020) 20.1.35 (8. Oktober 2020) 20.1.34 (2. Oktober 2020) 20.1.33 (28. September 2020) 20.1.32 (17. September 2020) 20.1.31 (11. September 2020) 20.1.30 (3. September 2020) 20.1.29 (28. August 2020) 20.1.28 (21. August 2020) 20.1.27 (14. August 2020) 20.1.26 (30. Juli 2020) 20.1.25 (24. Juli 2020) 20.1.24 (17. Juli 2020) 20.1.23 (9. Juli 2020) 20.1.22 (8. Juli 2020) 20.1.21 (2. Juli 2020) 20.1.20 (25. Juni 2020) 20.1.19 (18. Juni 2020) 20.1.17 (16. Juni 2020) 20.1.16 (12. Juni 2020) 20.1.15 (4. Juni 2020) 20.1.14 (28. Mai 2020) 20.1.12 (21. Mai 2020) 20.1.11 (15. Mai 2020) 20.1.10 (11. Mai 2020) 20.1.9 (30. April 2020) 20.1.7 (10. April 2020) 20.1.5 (26. März 2020) 20.1.4 (20. März 2020) 20.1.1 (21. Februar 2020)

Anforderungen: VS-Code >=1.67.2

Kommerzielle Lizenz: Durch das Herunterladen und Verwenden der DVT-IDE für Visual Studio Code stimmen Sie dem Produkt zuEndbenutzer-Lizenzvereinbarung.

Fordern Sie eine Evaluierung oder eine akademische Lizenz an.

Für Design- und Verifizierungsingenieure, die mit Verilog, SystemVerilog, Verilog-AMS oder VHDL arbeiten, verbessert die Design and Verification Tools (DVT) IDE für Visual Studio Code die Produktivität erheblich.

Im Gegensatz zu einfachen Texteditoren, die auf regulären Ausdrücken basierende Funktionen bieten, kompiliert die DVT-IDE den Code und meldet Fehler während der Eingabe, beschleunigt das Schreiben von Code durch automatische Vervollständigung und schnelle Korrekturvorschläge und ermöglicht es Ihnen, alles, was Sie suchen, sofort zu finden.

Was Ihnen die DVT-IDE in Sekundenschnelle bieten kann, hätte Sie wahrscheinlich mehrere Minuten oder Stunden gekostet, um es manuell zu finden und auszuführen.

Mit der DVT-IDE können Ingenieure die Einschränkungen von Nur-Text-Code-Editoren überwinden und die heutige Projektkomplexität effizienter bewältigen. Es ermöglicht eine schnellere und intelligentere Codeentwicklung und vereinfacht die Wartung von Legacy-Code für Anfänger und Experten gleichermaßen.

Merkmale

  • Standardkonforme Kompilierung im Handumdrehen. Die DVT-IDE verwendet einen IEEE-Standard-kompatiblen Parser (IEEE 1800 SystemVerilog, IEEE 1076 VHDL). Es ist nicht erforderlich, den Simulator aufzurufen, um sicherzustellen, dass der Code fehlerfrei kompiliert wird. Die DVT-IDE führt eine inkrementelle Kompilierung im laufenden Betrieb durch, sodass der Editor die Fehler während der Eingabe in Echtzeit hervorhebt.
  • Erweiterte Codebearbeitungsfunktionen wie automatische Vervollständigung, Schnellkorrekturen, Makroerweiterung, intelligente Codeformatierung, Refactoring und Codevorlagen.
  • Code- und Projektnavigationsfunktionen wie Hyperlinks, strukturelles Durchsuchen (z. B. Designhierarchie), dynamisch erstellte UML-Diagramme und Designdiagramme. Mit diesen Funktionen können Benutzer problemlos durch Zehntausende Codezeilen navigieren, relevante Informationen finden, eine Klassen- oder Modulstruktur überprüfen und den Quellcode schnell verstehen.
  • Sprachübergreifende Funktionen für gemischtsprachige Projekte ermöglichen es Benutzern, mit in mehreren Sprachen geschriebenem Quellcode (z. B. SystemVerilog, Verilog, VHDL) zu arbeiten, nahtlos durch große Projekte zu navigieren, das Gesamtbild zu erkennen und das gesamte Design zu verstehen.

Durch das Herunterladen und Verwenden der DVT-IDE für Visual Studio Code stimmen Sie dem Produkt zuEndbenutzer-Lizenzvereinbarung.

Zusammenstellung

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (1)

  • Inkrementelle Kompilierung im laufenden Betrieb

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (2)

  • Zusammenstellungsverzicht

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (3)

Schnelle Lösungsvorschläge

  • Port hinzufügen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (4)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (5)

  • Parameter hinzufügen/Modulinstanz aktualisieren

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (6)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (7)

  • Signal zur Empfindlichkeitsliste hinzufügen / Signal aus der Empfindlichkeitsliste entfernen / Signal entfernen, nie verwendet

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (8)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (9)

  • Klasse in neuer Datei erstellen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (10)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (11)

  • Schnittstellenklasse in neuer Datei erstellen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (12)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (13)

  • Importtyp

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (14)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (15)

  • Externe Methode implementieren / Fehlende rein virtuelle Methoden implementieren / Virtuellen Qualifizierer zum Schnittstellentyp hinzufügen / „Lokalen“ oder „geschützten“ Qualifizierer entfernen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (16)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (17)

  • Vollqualifizierter Typ

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (18)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (19)

  • Eingebundene Datei erstellen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (20)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (21)

  • Erstellen Sie eine Datei aus dem Build-Konfigurationseditor

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (22)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (23)

  • Meinten Sie? / Variable deklarieren

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (24)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (25)

  • Methode deklarieren

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (26)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (27)

  • Aktualisieren Sie den externen Prototyp oder die Implementierung bzw. aktualisieren Sie die Signatur der virtuellen Methode

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (28)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (29)

  • Fallauswahl hinzufügen / Enum-Wert deklarieren

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (30)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (31)

  • Generisch zur Entität hinzufügen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (32)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (33)

  • Ersetzen Sie das veraltete Paket

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (34)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (35)

  • Bibliotheksklausel entfernen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (36)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (37)

  • Entitätsinstanz aktualisieren

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (38)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (39)

Hyperlinks

  • Gehen Sie zu Deklaration

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (40)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (41)

  • Gehen Sie zu Definition

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (42)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (43)

  • Gehen Sie zur Super-/Child-Implementierung

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (44)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (45)

  • Gehen Sie zur Typdefinition

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (46)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (47)

  • Gehen Sie zu Komponentendeklaration / Entitätsdeklaration / Architekturdeklaration

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (48)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (49)

  • Öffnen Sie die Design-Breadcrumb-Instanz

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (50)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (51)

  • Zur Aufgabe springen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (52)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (53)

  • Springen Sie zu einer importierten Datei

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (54)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (55)

  • Springen Sie zu einer Makrodefinition

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (56)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (57)

  • Springe zum Zustandsautomaten

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (58)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (59)

  • Kommentieren Sie @see und @link

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (60)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (61)

Finden Sie alle Referenzen / Leser / Autoren

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (62)

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (63)

Einschränkungen anzeigen

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (64)

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (65)

Instanzen anzeigen

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (66)

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (67)

Spähen

  • Definition/Erklärung

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (68)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (69)

  • Typdefinition

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (70)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (71)

  • Implementierungen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (72)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (73)

  • Verweise

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (74)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (75)

Inhaltsassistent

  • Kontextsensitiv

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (76)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (77)

  • Code-Vorlagen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (78)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (79)

  • Automatische Instanziierung

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (80)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (81)

  • Override-Funktionen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (82)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (83)

  • Externe Funktionen implementieren

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (84)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (85)

  • Setter und Getter generieren

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (86)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (87)

  • UVM-Feldeditor

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (88)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (89)

  • Anmerkung überschreiben

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (90)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (91)

  • Erstellen Sie eine Fallerklärung mithilfe der automatischen Vervollständigung

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (92)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (93)

Refactoring-Operationen

  • Symbol umbenennen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (94)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (95)

  • Binden Sie Argumente für Methodenaufrufe nach Name/Position

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (96)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (97)

  • Instanz-Ports nach Name oder Position verbinden/erweitern.* Portverbindungen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (98)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (99)

  • In Variable extrahieren

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (100)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (101)

  • In Methode oder Modul extrahieren / Auswahl in neue Datei verschieben

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (102)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (103)

  • Beitritt/Split zu Extern und Implementierung

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (104)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (105)

  • Port hinzufügen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (106)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (107)

  • Parameter hinzufügen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (108)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (109)

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (110)

  • Grundlegende Tooltips

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (111)

  • Tooltips mit Diagrammen (Wavedrom / Bitfield)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (112)

  • Positions-Tooltips

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (113)

Formatierung

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (114)

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (115)

Semmelbrösel

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (116)

  • Scope Breadcrumb

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (117)

  • Entwerfen Sie Breadcrumb

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (118)

Codefabrik

  • Instanz erstellen / Signale erstellen / Testbench erstellen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (119)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (120)

  • Komponente erstellen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (121)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (122)

  • Erstellen Sie ein Wavedrom-Diagramm

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (123)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (124)

Diagramme

  • Designdiagramme

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (125)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (126)

  • FSM-Diagramme

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (127)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (128)

  • UML-Diagramme

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (129)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (130)

  • UVM-Komponentendiagramme

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (131)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (132)

  • WaveDrom-Timing-Diagramme / UVM-Register-Bitfelddiagramme

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (133)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (134)

Makros

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (135)

  • Hervorhebung des inaktiven Codes

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (136)

  • Makroerweiterung

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (137)

Ansichten

  • Auftrag zusammenstellen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (138)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (139)

  • Kompilierte Dateien

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (140)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (141)

  • Designhierarchie

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (142)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (143)

  • Verifizierungshierarchie

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (144)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (145)

  • Umriss

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (146)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (147)

  • Probleme

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (148)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (149)

  • Hierarchie aufrufen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (150)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (151)

  • UVM-Sequenzbaum

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (152)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (153)

  • Typhierarchie

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (154)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (155)

  • Arbeitsbereichssymbole

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (156)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (157)

  • Diagnose

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (158)

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (159)

Semantische Suche

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (160)

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (161)

Code-Linse

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (162)

  • Makro erweitern/reduzieren

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (163)

  • Einschränkungen anzeigen

    DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (164)

Inhaltsfilter

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (165)

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (166)

Wahre Integration

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (167)

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (168)

Kassenhaken

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (169)

Ein Problem melden

DVT-IDE für Visual Studio Code Readme und Changelog Version 23.1.12 (170)

23.1.12 (23. Mai 2023)

Gemeinsam

Verbesserungen

  • vscode-1163 Möglichkeit, die Heap-Nutzung des Sprachservers in der Statusleiste anzuzeigen

Fehlerbehebung

  • vscode-1160 Deaktivieren Sie die Suche durch Infomeldungen, die in der Ansicht „Kompilierungsauftrag“ angezeigt werden
  • vscode-1161 Deaktivieren Sie Kontextmenüaktionen für Infomeldungen, die in der Designhierarchie/Verifizierungshierarchie/Kompilierungsreihenfolge angezeigt werden
  • vscode-1165 Manchmal wird die Benachrichtigung zum Neustart des Sprachservers nach dem Bearbeiten der Datei [build].ls nicht ausgelöst
  • vscode-1171 Vorhandener Filter wird bei der Auswahl der Designhierarchie/Verifizierungshierarchie oben nicht berücksichtigt
  • vscode-1176 Ladeindikatoren werden während der Neuerstellung in den Ansichten „Kompilierungsreihenfolge“, „Designhierarchie“ und „Verifizierungshierarchie“ nicht angezeigt
  • vscode-1177 Der Sprachserver kann nicht gestartet werden, wenn die Datei [Name].ls führendes oder nachfolgendes Leerzeichen für den Heap enthältGröße und StapelGrößenwerte
  • DVT-17454 Falsche Überschreibung des Zeichenfolgenwerts bei Verwendung der Build-Konfigurationsanweisung +dvt_defparam

SystemVerilog

Leistung

  • DVT-18961 Content Assist: Verbessern Sie die Berechnungsgeschwindigkeit für Include-Vorschläge in langsamen Dateisystemen

Verbesserungen

  • DVT-9674 Semantischer Fehler für unzulässiges Ref-Argument im Funktionsaufruf auslösen

Fehlerbehebung

  • DVT-17069 Falsch ILLEGAL_ASSIGNMENT von Arrays realer Signale in Portverbindungen
  • DVT-18412 Semantischer Fehler beim Schreiben in einen Parameter auslösen
  • DVT-18881 Verbessert die Genauigkeit der Anzeige verbundener TLM-Ports
  • DVT-18962 Streaming-Operatoren werden im entpackten Array-Kontext nicht ausgewertet
  • DVT-18993 Die Kompilierung stoppt, wenn ein „eingeschlossenes Modul“ in einer -v-Bibliotheksdatei gefunden wird
  • DVT-19008 Ausnahme wird beim Analysieren einer Klasse ausgelöst, die eine Vorwärtsdefinition für die innere Klasse enthält

VHDL

Fehlerbehebung

  • DVT-18745 löst einen semantischen Fehler für unäre VHDL 2008-Operatoren aus, die in der VHDL 1993/2002-Syntax nicht unterstützt werden

23.1.11 (9. Mai 2023)

Gemeinsam

Fehlerbehebung

  • vscode-1153 Das Aktualisieren der Diagnose und die inkrementelle Kompilierung funktionieren nicht, wenn die Verbindung zum selben eigenständigen Sprachserver wiederhergestellt wird
  • vscode-1155 DVT.tooltips.parameterValuesRadix-Einstellung wird nicht berücksichtigt
  • vscode-1157 Language Server wird fälschlicherweise gestartet, wenn die Benachrichtigung geschlossen wird, die vorschlägt, DVT für einen zuvor deaktivierten Arbeitsbereich erneut zu aktivieren
  • vscode-1158 „Building…“-Benachrichtigung verschwindet nicht, wenn die DVT-Unterstützung während eines laufenden Builds deaktiviert wird

SystemVerilog

Verbesserungen

  • vscode-1133 Möglichkeit, Paketnamen in der Typhierarchie voranzustellen
  • DVT-18907 Fehler „PORT_CONNECTION Eingabe verbunden mit Ausgabe“ in nicht ausgearbeitetem Code nicht auslösen
  • DVT-18934 Unterstützung für +dvtAusarbeitungSchleifeBlockCutoff bei der Ausarbeitung einer Reihe von Instanzen
  • DVT-18955 Array von Instanzen, Bereichsdimension LHS und RHS nur als vorzeichenbehaftete Ausdrücke auswerten

Fehlerbehebung

  • vscode-1140 UVM-Komponentendiagramm: Manchmal generiert „Verbindungen anzeigen“ ein unvollständiges Diagramm
  • vscode-1151 UVM-Komponentendiagramm: Manchmal wird ein interner Fehler ausgegeben, wenn das Diagramm nach einem vollständigen Build aktualisiert wird
  • vscode-1152 UVM-Komponentendiagramm: Manchmal bleiben Diagramme beim Umschalten von Inhaltsfiltern in „Diagramm generieren…“ hängen
  • DVT-17926 Hyperlinks für Methodenaufrufe, die als Makroaufrufargumente verwendet werden, werden korrekt berechnet
  • DVT-18277 Falscher UNDECLARED_IDENTIFIER-Fehler für Mitglied des geerbten Felds mit Parameteraliastyp
  • DVT-18920 False ILLEGALVARIABLEASSIGNMENTS-Fehler für verschiedene Array-Auswahlen in der Verkettung
  • DVT-18935 Falsch: „Kein passender „endif“-Syntaxfehler, wenn Dateien nicht druckbare Zeichen enthalten

VHDL

Fehlerbehebung

  • DVT-18901 Falscher INVALID_ATTRIBUTE EVENT-Fehler für Signalpräfix des Aliastyps
  • DVT-18902 Falsch mehrdeutigSUBPROGRAM-Fehler für NUMERICSTD.TO_INTEGER vordefinierte Funktion
  • DVT-18906 Falscher „Open Declaration“-Hyperlink, wenn der Typ denselben Namen wie das umschließende Paket hat

23.1.10 (25. April 2023)

Gemeinsam

Leistung

  • vscode-1125 Verbessert die Gesamtantwortzeit des Sprachservers beim Aktualisieren vieler Diagnosen

Verbesserungen

  • vscode-1089 Möglichkeit, den Designhierarchiepfad des Signals unter dem Cursor zu kopieren

Fehlerbehebung

  • vscode-1117 Semantische Hervorhebung wird nach einem inkrementellen Build nicht automatisch aktualisiert
  • vscode-1147 dvt_ls.sh gibt nicht „Server gestartet“ aus, wenn -noexit bereitgestellt wird

SystemVerilog

Leistung

  • DVT-18854 Verbessern Sie die Build-Leistung für Projekte mit vielen `ifdef-Guards

Fehlerbehebung

  • DVT-18896 Falscher Syntaxfehler für „endif“ im String-Literal gefunden

VHDL

Verbesserungen

  • DVT-18721 Triggerwarnung für nicht verbundene Ein-/Ausgabeports bei der Komponenteninstanziierung
  • DVT-18892 Fördern Sie MISSINGHAFENCONNECTION-Warnung führt zu Fehler für Eingabeports

Fehlerbehebung

  • DVT-18904 In den Kompatibilitätsmodi ius.irun/xcelium.xrun sollte -v200x die VHDL-2008-Unterstützung aktivieren

23.1.9 (12. April 2023)

Gemeinsam

Fehlerbehebung

  • vscode-1006 Es kann keine Verbindung zum Remote-Sprachserver hergestellt werden, wenn der entsprechende Projektordner bereits geöffnet ist
  • vscode-1128 Der Client stellt aufgrund einer kurzen Zeitüberschreitung keine Verbindung zum Sprachserver her
  • vscode-1141 Der Standardwert von „DVT.lingualServer.startTimeout“ ist in einigen VS-Code-Versionen nicht festgelegt

SystemVerilog

Verbesserungen

  • vscode-1065 Syntaktische Hervorhebungsunterstützung für PSL hinzufügen
  • DVT-18849 Fähigkeit zur Auswertung von Casex-/Casez-Anweisungen während der Ausarbeitung
  • DVT-18873 Verbessert die Fehlerbehebung für bestimmte Vorverarbeitungskontexte

Fehlerbehebung

  • vscode-1077 Manchmal ist die syntaktische Hervorhebung von DPI-Funktionen falsch
  • vscode-1131 Falscher Sprachmodus für Dateien mit der Erweiterung .svams
  • vscode-1132 Manchmal werden Blockkommentare nicht hervorgehoben
  • DVT-17975 ASSIGNMENT_BLOCKING-Warnungen werden in immer getakteten Blöcken nicht immer gekennzeichnet
  • DVT-18594 UVM-Komponentendiagramm: Quellen/Ziele anzeigen sollten der Datenrichtung in TLM-Ports folgen
  • DVT-18759 Codeformatierung: Falsche Codeeinrückung, wenn eine Parameterliste eine „include“-Direktive enthält
  • DVT-18819 Fallelementausdruck wird im Hinblick auf das Signieren von Fallelementen nicht ausgewertet
  • DVT-18850 Falsche Auswertung des Case-Ausdrucks mit dem Inkrement-/Dekrement-Operator
  • DVT-18853 Falsche SELECTNICHTERLAUBTER Fehler für ein Feld mit dem Bereichstyp „Spezialklasse“.
  • DVT-18860 Falsch NEINBESTEHENDEPACKAGE-Fehler für lokales Paket, wenn die aktuelle Bibliothek nicht in der -liblist-Direktive angegeben ist
  • DVT-18865 Falsche Signatur für Nicht-ANSI-Port mit separater Netzdeklaration
  • DVT-18867 Falsche Größenauswertung für den selbstbestimmten „**“-Operator

VHDL

Verbesserungen

  • DVT-18699 Neuer semantischer Fehler für Selbstreferenz in der Standardwertspezifikation

23.1.8 (29. März 2023)

Gemeinsam

Fehlerbehebung

  • vscode-1078 Die Verwendung von „/*“-Platzhaltern in Build-Konfigurationsdateien führt zu falscher Hervorhebung
  • vscode-1124 Designhierarchieansicht: Die Größenänderung des Instanzenfensters auf maximale Höhe führt zu einer unvollständigen Liste von Elementen
  • vscode-1126 Manchmal frieren die Diagramme ein, wenn Scrollrad-Klickereignisse empfangen werden
  • vscode-1129 Ein Problem melden: Problembericht kann unter Windows nicht gespeichert werden
  • vscode-1130 Ein Problem melden: Verzeichnisse können unter Windows nicht erweitert oder deaktiviert werden
  • DVT-18743 Ansicht „Kompilierungsreihenfolge“: Inkonsistenter Kompilierungsindex zwischen Kompilierungsreihenfolge und Konsole, wenn Dateien übersprungen wurden

SystemVerilog

Leistung

  • DVT-13635 Möglichkeit, eine „flache“ Kompilierung für bestimmte Dateien/Aufrufe durchzuführen, um die vollständige Erstellungszeit zu beschleunigen
  • DVT-18816 Beschleunigen Sie den inkrementellen Build, wenn Sie die Typedef-Struktur/unaufgelöste externe Funktionsimplementierung in Modulen oder Programmen ändern
  • DVT-18826 Beschleunigen Sie den inkrementellen Build, wenn Funktionen innerhalb von Modulen oder Programmen geändert werden

Merkmale

  • DVT-18521 Unterstützung für SystemVerilog AMS hinzugefügt

Verbesserungen

  • DVT-15582 Verbessern Sie den +dvtAutosnpsvipMakros Build-Konfigurationsanweisung
  • DVT-18784 Verlängerung ILLEGALNETZDATATYPE 2-Status-Datentypprüfung für Portdeklarationen

Fehlerbehebung

  • DVT-18278 Automatischer Verzicht auf MISSINGFUNKTIONIMPLEMENTATION-Fehler, wenn ihre Implementierungen verschlüsselt sind
  • DVT-18705 Falscher Deklarations-Hyperlink für Feldtyp, der durch die lokale Typdefinition überschattet wird
  • DVT-18815 und DVT-18806 Falsche Auflösung des Wildcard-Imports in ein lokales Paket, unabhängig von der -pkgsearch-Direktive

VHDL

Merkmale

  • vscode-1127 Hyperlinks für JavaDoc-@link-Tags in VHDL-Kommentaren

Fehlerbehebung

  • DVT-18730 False TYPE_MISMATCH für Case-When-Bezeichner, wenn dieser sowohl auf ein Aufzählungsliteral als auch auf eine Entität verweist

23.1.7 (15. März 2023)

Gemeinsam

Verbesserungen

  • vscode-1116 Verbesserte Benutzeroberfläche zum Melden eines Problems

Fehlerbehebung

  • vscode-1046 FSM-Diagramme: Das Kontextmenü des Diagramms verschwindet nicht, wenn ein Übergangstabellenelement ausgewählt wird
  • vscode-1058 Ein Problem melden: Der Verzeichnisinhalt kann bei Verwendung von Remote SSH nicht überprüft werden
  • vscode-1111-Diagramme: Durch das Zurücksetzen des Namens beim Erstellen eines neuen Filters sollte die Schaltfläche „OK“ deaktiviert werden
  • vscode-1119 Manchmal werden während eines vollständigen Build-Vorgangs zwei Fortschrittsbenachrichtigungen „Building…“ angezeigt
  • vscode-1121 DVT.LanguageServer.Selection-Einstellung wird nicht berücksichtigt, wenn sie auf „Mit einem verfügbaren Sprachserver verbinden“ eingestellt ist.
  • vscode-1123 Durch die Neuerstellung des Projekts nach dem Löschen der Waivers-Datei wird das Problem nicht auf seinen ursprünglichen Schweregrad aktualisiert
  • DVT-18703 Schematische Diagramme: Verbindungen, die über Arrays von Schnittstelleninstanzen hergestellt werden, werden nicht angezeigt

SystemVerilog

Leistung

  • DVT-18779 Beschleunigen Sie die inkrementelle Erstellung, wenn Sie den Inhalt von Klassen ändern, die in Modulen oder Programmen verschachtelt sind

Verbesserungen

  • DVT-18514 Definieren Sie automatisch Einschränkungen mit +dvtverschlüsseltCodeAutoAPI
  • DVT-18578 Trigger-Semantikfehler für Variable, die mit dem Inout-Port verbunden ist
  • DVT-18713 Fehler für Nicht-Netzvariablen-Inout-Port auslösen
  • DVT-18747 SIGNAL nicht auslösenMEHREREDRIVERS-Warnung für Variablen
  • DVT-18748 Triggerfehler für Variable, die durch eine Mischung aus kontinuierlichen und prozeduralen Zuweisungen geschrieben wurde
  • DVT-18749 Triggerfehler für Variable, die von mehr als einer kontinuierlichen Zuweisung geschrieben wurde

Fehlerbehebung

  • vscode-1114 UVM-Komponentendiagramm: Manchmal bleiben Diagramme beim Umschalten von Inhaltsfiltern in „Diagramm generieren…“ hängen
  • vscode-1122 Verifizierung Breadcrumb-spezifische Befehle werden in der Befehlspalette nicht angezeigt
  • DVT-18053 +dvtverschlüsseltCodeAutoAPI definiert keine automatischen Klassenkonstruktoren
  • DVT-18492 Falsches MULTIBITEDGE_CONTROL-Warnung für Signalbitauswahl
  • DVT-18617 und vscode-1074 Die offene Deklaration für ein parametrisiertes Modul, bei dem der Instanzname mit der Moduldefinition übereinstimmt, funktioniert nicht
  • DVT-18740 Ansicht der Kompilierungsreihenfolge: Falsche Anzahl eindeutiger Dateien wird berechnet, wenn -y/-v-Bibliotheken verwendet werden
  • DVT-18742 Ansicht „Kompilierungsreihenfolge“: Doppelte Bibliotheksdateien werden übersprungen

VHDL

Merkmale

  • DVT-18368 Bitfelddiagramme: Unterstützung für VHDL-Datensätze hinzugefügt

Fehlerbehebung

  • DVT-18690 Das Strukturaggregat kann nicht ausgewertet werden, wenn sich der Name des formalen Teils durch Klein-/Großschreibung vom Namen des Datensatzmitglieds unterscheidet
  • DVT-18765 Schnellkorrektur „Signal deklarieren“: Falsche Deklaration, wenn das letzte Signal einen mehrzeiligen Standardwert hat

23.1.6 (1. März 2023)

Gemeinsam

Fehlerbehebung

  • vscode-1000 Wenn Sie dvt_code.sh ohne Argumente verwenden, schließen Sie das Hauptfenster nicht, wenn der Editor „Erste Schritte“ geschlossen ist
  • vscode-1073 Heap-Größe wird bei Verwendung von dvt nicht berücksichtigtcli.sh -vscode -heapGröße
  • vscode-1093 Verwendung von dvt_code.sh mit -build und -.build-Argumente sollten die Build-Konfigurationsdatei erstellen
  • vscode-1107 Diagramme entwerfen: Beim Navigieren durch den Breadcrumb nach dem Wechsel zwischen Diagrammtypen über die Kontextmenüaktion wird ein falsches Diagramm generiert
  • vscode-1113-Diagramme: Das Navigieren durch die verfügbaren Filter setzt den Inhalt der benutzerdefinierten Filter zurück

SystemVerilog

Verbesserungen

  • DVT-18611 Löst Warnungen zu Breiten-/Signaturkonflikten für Groß-/Kleinschreibungsausdrücke aus

Fehlerbehebung

  • vscode-1108 UVM-Komponentendiagramm: Manchmal hat das Ändern der Diagrammtiefe keine Auswirkung
  • vscode-1115 Codeformatierung: Die Einrückungseinstellung „Neue Zeile nach Anfang und vor Ende hinzufügen“ funktioniert nicht
  • DVT-18669 Verbessert die Leistung der inkrementellen Kompilierung beim Ändern von Feldern von Klassen, die in großen Programmen verwendet werden
  • DVT-18670 Verbessert die Leistung der inkrementellen Kompilierung beim Ändern der impliziten Funktionsergebnisvariablen in großen Programmen
  • DVT-18677 StackOverflowError wird in einigen Fällen während der Erstellung für zirkuläre Typedefs ausgelöst
  • DVT-18682 Falsch NEINBESTEHENDETYPE-Fehler für local::-Qualifizierer im Assertion-Ausdruck-Randomize-Aufruf
  • DVT-18684 Codeformatierung: In bestimmten Szenarien funktioniert die Einstellung „Neue Zeile nach Ende hinzufügen“ nicht

VHDL

Fehlerbehebung

  • DVT-18657 Falscher TYPE_MISMATCH-Fehler für den Schleifenindex des Aufzählungstyps, der im Fallausdruck verwendet wird

23.1.5 (21. Februar 2023)

Gemeinsam

Verbesserungen

  • vscode-1083 Leicht zugängliche Kurzreferenz zur Build-Konfiguration

Fehlerbehebung

  • vscode-1070 Verifizierungshierarchie/Designhierarchie ist manchmal teilweise gefüllt
  • vscode-1101 Ansicht „Kompilierungsreihenfolge“: Das mehrmalige Reduzieren und Erweitern der Ansicht führt zu einer falschen Liste der kompilierten Dateien
  • vscode-1104 Das Erweitern der Kompilierungsreihenfolge/Designhierarchie/Verifizierungshierarchie nach dem vollständigen Build führt zu einer leeren Ansicht
  • DVT-18299 Auftragsansicht kompilieren: Die Ansichtsbezeichnung wird beim Leeren des Suchfelds nicht aktualisiert
  • DVT-18637 WAVEDROM_FILE-Pragma funktioniert nicht mit vollständigen Pfaden

SystemVerilog

Leistung

  • DVT-18635 Bitfelddiagramme: Verbesserter Caching-Mechanismus in der Diagrammansicht

Verbesserungen

  • DVT-9519 & DVT-6092 Build-Konfiguration: Unterstützung für das Flag -ml_uvm im xcelium.xrun-Modus hinzugefügt
  • DVT-18324 Bitfelddiagramme: Möglichkeit, Diagramme auf mehrere Spuren aufzuteilen
  • DVT-18442 Zu verbundenen TLM-Ports springen: Möglichkeit, die nächste Verbindung auszuwählen
  • DVT-18606 Build-Konfiguration: Unterstützung für das Flag -uvmexthome im xcelium.xrun-Modus hinzugefügt
  • DVT-18613 Bitfelddiagramme: Hierarchische Feldnamen in der Tabelle anzeigen
  • DVT-18646 Behandelt Typedef-entpackte Dimensionsänderungen inkrementell

Fehlerbehebung

  • vscode-1106 Die Überprüfungshierarchieansicht reagiert nicht mehr, wenn die Exploreransicht während des inkrementellen Builds fokussiert war
  • DVT-18117 Unterstützung für maskierte Häkchen (\') in +define hinzugefügt oder den Fehler anzeigen und korrekt wiederherstellen
  • DVT-18599 Bitfelddiagramme: Hyperlink-Position für gepackte Strukturen korrigiert
  • DVT-18622 Open Super Implementation sollte den Cursor auf den Methoden-/Einschränkungsnamen setzen
  • DVT-18651 Modul, das mithilfe von Bind instanziiert wurde, wurde fälschlicherweise als inkrementell nicht ausgearbeitet markiert

VHDL

Fehlerbehebung

  • DVT-15324 False INVALID_ATTRIBUTE beim Verketten von Attributzugriffen

23.1.4 (8. Februar 2023)

Gemeinsam

VERALTET

  • DVT-18604-Lizenz: Die Unterstützung für FlexLM-Tools und den dvtlmd-Daemon <11.19 ist veraltet und wird in zukünftigen Versionen entfernt

Merkmale

  • DVT-18605-Lizenz: Neue Client-Implementierung basierend auf FlexLM 11.19 (standardmäßig deaktiviert)

Fehlerbehebung

  • DVT-18240-Lizenz: In einigen Fällen verliert der Lizenz-Client aufgrund eines FlexLM-Fehlers eine große Anzahl von CLOSE_WAIT-Sockets
  • DVT-18603-Lizenz: In einigen Fällen, wenn mehrere Lizenzquellen festgelegt sind und keine Lizenz verfügbar ist, stellt der Lizenz-Client wiederholt eine Lizenz in die Warteschlange und wieder heraus

SystemVerilog

Leistung

  • DVT-18587 Verbessert die Leistung der inkrementellen Kompilierung beim Ändern des Klassennamens, der mithilfe der Forward-Typedef in großen Programmen definiert wurde
  • DVT-18595 Verbessert die Leistung der inkrementellen Kompilierung in sehr großen Designs beim Ändern von Klassendefinitionen

Fehlerbehebung

  • DVT-18576 Falsche WIDTH MISMATCH-Warnung, wenn dasselbe Schnittstellensignal mehrmals ausgewertet wird
  • DVT-18589 Warnung wegen nicht übereinstimmender Breite des Auslösers für einen Bitvektoroperanden auf der linken Seite, der auf lokale Instanzparameter verweist
  • DVT-18601 Das Extrahieren in eine lokale Variable aus einer Assertion-Bedingung erzeugt einen Syntaxfehler
  • DVT-18602 Falscher „Open Declaration“-Hyperlink für externe Einschränkungen in bestimmten Szenarios

VHDL

Verbesserungen

  • DVT-18582 Attribute von Nicht-Enum-Skalartypen können nicht ausgewertet werden

Fehlerbehebung

  • vscode-1102 Designhierarchieansicht: Unvollständiger Name wird im Ansichtsheader für Entitäts- und Architekturoberseiten angezeigt
  • DVT-18588 Es wird keine UNELABORATED_PACKAGE-Warnung ausgelöst, wenn mehrere Pakete in verschiedenen Bibliotheken den gleichen Namen haben

23.1.3 (31. Januar 2023)

Gemeinsam

Fehlerbehebung

  • DVT-11725 Quick Fix: Falscher Typ aus Typparametern berechnet
  • DVT-15848 Schnellkorrektur „Funktion deklarieren“: Falsche Anzahl von Argumenten, wenn die nicht deklarierte Funktion in einem Makroaufruf verwendet wird
  • DVT-17826 Warteschlangenargumente sollten beim Extrahieren in eine Funktion als Referenz übergeben werden
  • DVT-18575 Der Hyperlink „Open Implementation“ fehlt nach dem inkrementellen Build, wenn sich die Implementierung in einer anderen Datei befindet

23.1.2 (25. Januar 2023)

Gemeinsam

Leistung

  • DVT-18485 Verbessert die Leistung der inkrementellen Kompilierung beim Ändern von Klassenfunktionsargumenten, die in großen Programmen definiert sind

Fehlerbehebung

  • DVT-18415 Falsch NEINBESTEHENDETYPE-Fehler bei Verwendung des Typoperators, um auf den Datentyp eines Ausdrucks zu verweisen

23.1.1 (19. Januar 2023)

Gemeinsam

Leistung

  • DVT-18519 Reduzieren Sie den Speicherbedarf während der Ausarbeitung

ENTFERNT

  • DVT-18516 +dvt entferntermöglichenAusarbeitungsrichtlinie

Merkmale

  • vscode-1092 dvt hinzufügenCode-DateienAusschlussargument, um Dateien aus der VS Code-/VSCodium Explorer-Ansicht auszuschließen

Verbesserungen

  • vscode-1044 Fügen Sie bei der Eingabe in einen Blockkommentar automatisch ein „*“ ein
  • vscode-1081 Möglichkeit zum Hinzufügen oder Überspringen von Dateien zur Kompilierung über das Explorer-Kontextmenü
  • DVT-18487 Reduzieren Sie den Speicherbedarf eines ausgearbeiteten Designs mit vielen Generierungsblöcken
  • DVT-18518 Neuer Init-vom-SimLog-Timeout-Mechanismus
  • DVT-18531 Init vom SimLog-Abbruchmechanismus verbessert

Fehlerbehebung

  • vscode-1087 Die ID des gestarteten Servers wird nicht gedruckt, wenn dvt_ls.sh -noexit verwendet wird
  • DVT-18460 Falsche UNELABORATED_ENTITY-Warnung nach inkrementeller adaptiver Ausarbeitung der Komponenteninstanz in einigen Fällen
  • DVT-18517 Init von SimLog: Extrahierte Kompilierungsargumente werden bei längeren Eingaben abgeschnitten

SystemVerilog

Leistung

  • DVT-18443 Content Assist: Langsame Vorschlagsberechnung im hierarchischen Deep-Design-Zugriff
  • DVT-18467 Verbessert die Leistung der inkrementellen Kompilierung beim Ändern von in Programmen definierten Typedef-Enum-/Strukturelementen
  • DVT-18520 & vscode-1043 Quick Fix: Verbessern Sie die „Meinten Sie“-Leistung

Merkmale

  • DVT-5777 & DVT-13043 Neuer semantischer Fehler beim Aufruf zeitaufwändiger Aktionen aus Funktionen

Fehlerbehebung

  • vscode-1084 Typhierarchie ist leer, wenn sie durch die Verwendung eines Elements ausgelöst wird
  • DVT-18158 Der Prototyp wird so aktualisiert, dass er mit der externen Implementierung übereinstimmt. Der Standardwert der Parameter wird überschrieben
  • DVT-18490 Schnellkorrektur: In bestimmten Szenarien wird das Signal durch „Zur Empfindlichkeitsliste hinzufügen“ an der falschen Stelle eingefügt
  • DVT-18497 Defekte Parameterdeklarationen nach dem Extrahieren in das Modul-Refactoring
  • DVT-18530 Content Assist: Keine Vorschläge für die UVM-Feldregistrierung, wenn das Makro für ein Feld nicht ermittelt werden konnte

VHDL

Verbesserungen

  • DVT-18453 Unterstützt die Auswertung des POS-Attributs für Aufzählungen

Fehlerbehebung

  • DVT-18445 Falscher Wert für Attribut eines Nicht-Array-Skalartyps
  • DVT-18459 Falscher UNDECLARED_CONFIGURATION-Fehler für die SystemVerilog-Konfiguration, auf die in der VHDL-Konfiguration verwiesen wird
  • DVT-18480 Der Aggregatwert wurde für den Datensatzkontext mit Mitgliedseinschränkungen nicht ausgewertet

22.1.39 (20. Dezember 2022)

Gemeinsam

Fehlerbehebung

  • vscode-836 Diagnoseansicht: Fehlende Serverinformationen unter Windows
  • vscode-1062 Falsche „Building…“-Benachrichtigung, wenn der Neuaufbau ohne Lizenz ausgelöst wird
  • vscode-1088 Kompilierungsverzicht wird nicht automatisch angewendet, wenn VS Code nicht in der Lage ist, in großen Arbeitsbereichsordnern auf Dateiänderungen zu achten
  • vscode-1090 $ENV_NAME-Systemvariablen werden nicht korrekt aufgelöst, wenn sie in der DVT. languageServer.launchCommand-Einstellung verwendet werden

Verbesserungen

  • vscode-1067 Verbessern Sie die Benutzererfahrung beim Arbeiten mit einer ungültigen Kompilierverzichtsdatei

SystemVerilog

Leistung

  • DVT-18446 Verbessert die Leistung der inkrementellen Kompilierung, wenn die enthaltenen Dateien viele lokale Parameter enthalten

VHDL

Fehlerbehebung

  • DVT-18468 In manchen Fällen kam es bei der Ausarbeitung mehrerer Tops zu einer falschen Methodenauswertung

22.1.38 (14. Dezember 2022)

SystemVerilog

Leistung

  • DVT-18424 Verbessert die Leistung der inkrementellen Kompilierung für in Programmen definierte Klassen

Fehlerbehebung

  • vscode-1079 Der Lizenzserver versucht immer noch, die Verissimo-Lizenz auszuchecken, nachdem er die Sitzung abgebrochen hat, obwohl keine Verissimo-Lizenz vorhanden ist
  • vscode-1085 Der Aufruf von „Override Methods“ und „Generate Setters & Getters“ aus dem Content Assist hat keine Auswirkung
  • DVT-18228 Falsche NOFHAFENCONNECTIONS-Fehler beim Instanziieren eines Moduls mit nicht verbindbaren Ports
  • DVT-18341 Falscher Strukturzuweisungsmustervorschlag, wenn die Struktur einen Aufzählungstyp enthält

Verbesserungen

  • DVT-18354 Der Parameterwert kann nicht berechnet werden, wenn er einem Strukturzuweisungsmuster mit der Klausel „default“ zugewiesen wird

VHDL

Fehlerbehebung

  • DVT-18350 und DVT-18351 Falscher UNDEFINED_SUBPROGRAM-Fehler für den benutzerdefinierten Bereichstyp
  • DVT-18373 Falsches MISSING_CONSTRAINT beim Deklarieren mehrerer Variablen/Signale mit demselben Datentyp

Verbesserungen

  • DVT-18195 Unterstützung für die Auswertung von Ceil- und Log2-Funktionen, die im Paket ieee.MATH_REAL definiert sind
  • DVT-18244 Unterstützung für die Auswertung vordefinierter to_string-Funktionen hinzugefügt

22.1.37 (6. Dezember 2022)

Gemeinsam

Fehlerbehebung

  • vscode-1020 Beim Ausführen von Aktionen in neu erstellten Dateien treten verschiedene Fehler auf
  • vscode-1072 Die Benachrichtigung über den Fortschritt der Natures-Erkennung wird gleichzeitig mit der Schnellauswahl „Sprachen auswählen“ angezeigt

Verbesserungen

  • vscode-1066 Möglichkeit, die Protokolle und Registrierungsverzeichnisse von Language Server zu verschieben

SystemVerilog

Fehlerbehebung

  • DVT-18389 Es werden keine Verweise auf überschriebene Methoden gemeldet, wenn die Vererbung mithilfe von Typparametern erfolgt

Verbesserungen

  • DVT-17442 und DVT-17964 +dvt verbesserndrinfrom_simlog-Behandlung von Definitionen in Anführungszeichen beim Arbeiten mit xrun-Protokollen
  • DVT-18167 Designhierarchieansicht: Generierte Blöcke ausblenden, die keine Blattinstanzen haben
  • DVT-18362 Trigger-Semantikfehler für Genvar, das in der prozeduralen for-Anweisung verwendet wird
  • DVT-18407 Trigger-Semantikfehler für Nicht-Klassenvariable, die als Argument für den Klassen-Randomize-Aufruf verwendet wird

22.1.36 (22. November 2022)

Gemeinsam

AUFRÄUMEN

  • vscode-829 Die erforderliche Mindestversion von VS Code wurde aufgrund des Updates auf LSP 3.17 auf 1.67.2 erhöht

Fehlerbehebung

  • vscode-986 Vermeiden Sie die Serialisierung ungültiger Argumentwerte in der Datei [build].ls
  • vscode-1055 FSM-Diagramme: Das Anwenden einer beliebigen Präferenz in einem neuen Diagramm generiert einen leeren Editor
  • vscode-1061 Ansicht „Kompilierungsreihenfolge“: Manchmal ist die Ansicht nach dem vollständigen Build leer
  • DVT-18358 Fehlende UNELABORATED_MODULE-Warnung nach der Projektwiederherstellung
  • DVT-18359 Instanzparameterwerte unter Generierungsblöcken werden in einigen Fällen nicht näher erläutert

Verbesserungen

  • vscode-1011 Überprüfen Sie die Naturwerte in der Datei [build].ls, bevor Sie den Sprachserver starten
  • DVT-18287 Änderung +dvtAusarbeitungÜberspringen Sie Anweisungen, um ausgearbeitete Instanzen auszuschließen
  • DVT-18288 Ausarbeitungsanweisungen zu Black-Box-Instanzen hinzufügen

SystemVerilog

Fehlerbehebung

  • vscode-1022 Überprüfungshierarchieansicht: Manchmal ist die Ansicht nicht vollständig ausgefüllt
  • DVT-17200 Vordefinierte Projekte: uvmrefFlow läuft nicht in xrun
  • DVT-18295 Falscher Fehler für Dezimalzahl mit „?“ Ziffer
  • DVT-18365 Falscher ILLEGAL_IMPLICIT-Fehler in einigen Fällen, wenn eine Datei mehrmals kompiliert wird

VHDL

Fehlerbehebung

  • DVT-18352 Falscher Syntaxfehler für leeres Bitstring-Literal

22.1.35 (17. November 2022)

Gemeinsam

Fehlerbehebung

  • vscode-1050 Ansicht der Kompilierungsreihenfolge: Falsche Ergebnisse bei der Suche nach einer Datei, bevor der vollständige Build abgeschlossen ist
  • vscode-1052 Ansicht „Kompilierungsreihenfolge“: Falsche Ergebnisse beim Umschalten von Inhaltsfiltern, wenn das Suchfeld ausgefüllt ist
  • vscode-1057 Manchmal gibt der Breadcrumb nach dem Schließen eines Diagrammeditors einen Fehler aus
  • vscode-1059 Diagramme bleiben nach der Anwendung eines Filters in „Diagramm generieren…“ hängen
  • DVT-18132 NullPointerException wird ausgelöst, wenn undefinierte Umgebungsvariablen in +dvt verwendet werdenüberspringenkompilieren

SystemVerilog

Fehlerbehebung

  • vscode-1060 Setter/Getter generieren zeigt doppelte Einträge in der Benutzeroberfläche an
  • vscode-1063 Bitfelddiagramme: In der Tabelle werden für ähnliche Strukturen falsche Typen angezeigt
  • DVT-18270 Bitfelddiagramme: Die Hervorhebung der Tabellenzeile funktioniert nicht, wenn Sie mit dem XULRunner-Webbrowser auf ein SVG-Feld klicken
  • DVT-18317 Bitfelddiagramme: SVG-Felder können nicht angeklickt werden, wenn der Name die gleiche Länge hat
  • DVT-18338 Falsches One-Hot-codiertes FSM-Diagramm wird generiert, wenn Zustandswerte mehr als 32 Bit haben
  • DVT-18344 StackOverflowException während der Ausarbeitung für die implizite Rückgabevariable einer Funktion, die als Argument verwendet wird

Verbesserungen

  • DVT-18105 Unterstützung für „fl_property“ in PSL-Behauptungen hinzugefügt
  • DVT-18320 Mögliche Übereinstimmung für Methode anzeigen, wenn ihre Klasse als Parameterwert verwendet wird

VHDL

Fehlerbehebung

  • DVT-18151 Falsch MISSING_CONSTRAINT für Zeichenfolgenvariable innerhalb des Datensatztyps
  • DVT-18322 Falsch MISSING_CONSTRAINT beim Deklarieren einer Variablen mit eingeschränktem Datensatztyp
  • DVT-18326 False TYPE_MISMATCH bei Verwendung des Attributs einer Array-Auswahl

22.1.34 (8. November 2022)

Gemeinsam

Fehlerbehebung

  • Der vscode-1007-Befehl „Build abbrechen“ hat keine Auswirkung, wenn er direkt nach dem Start des Builds aufgerufen wird
  • vscode-1023 Auftragsansicht kompilieren: Der Inhalt der Ansicht wird nach dem Löschen des Suchfelds nicht korrekt aktualisiert
  • vscode-1024 Ansicht der Kompilierungsreihenfolge: Die Benutzeroberfläche friert ein, wenn die letzte Übereinstimmung beim inkrementellen Build entfernt wird
  • vscode-1049 NullPointerException beim Öffnen einer Datei mit einer unbekannten Erweiterung
  • vscode-1053 Auftragsansicht kompilieren: Manchmal friert das Löschen des Suchfelds die Ansicht ein
  • In der Marketplace-Erweiterung vscode-1054 fehlt der dvtsimlogSkript „processor.sh“.
  • DVT-18280 Der Wert des Paketparameters wird nicht berechnet, wenn auf einen anderen lokalen Parameter im Paketbereich verwiesen wird

Verbesserungen

  • vscode-996 Zeigt eine visuelle Anzeige in der Benutzeroberfläche an, wenn der Build ausgeführt wird
  • vscode-1027 Zeigt beim Speichern eines Diagramms eine visuelle Anzeige in der Benutzeroberfläche an
  • vscode-1035 Einstellung hinzufügen, um zu steuern, ob die Build-Konsole beim Start eines Builds automatisch fokussiert werden soll

SystemVerilog

Merkmale

  • DVT-15584 Bitfelddiagramme: Unterstützung für gepackte Datentypen hinzugefügt

Fehlerbehebung

  • vscode-1051 Makro nicht erweitern, wenn der ausgewählte Text bereits eine Makroerweiterung enthält
  • DVT-18289 Falsches One-Hot-codiertes FSM-Diagramm generiert, wenn Zustandswerte Parameterwerte sind
  • DVT-18297 Falsche Auswertung einer if-Anweisung in einigen Fällen während der Ausarbeitung
  • DVT-18300 Verbundene TLM-Ports anzeigen: Ports aus dem Methodenargument „connect“ werden nicht angezeigt
  • DVT-18301 Zum verbundenen TLM-Port springen: Ports aus dem Methodenargument „connect“ werden beim Springen zur Verbindung nicht berücksichtigt

Verbesserungen

  • vscode-763 Warnung anzeigen, wenn die Erweiterung nicht mit der Definition eines reduzierten Makros übereinstimmt

VHDL

Fehlerbehebung

  • DVT-11381 Falscher UNDECLARED_IDENTIFIER-Fehler für hierarchischen Argumentzugriff im Unterprogrammaufruf
  • DVT-18212 Falsch UNDECLARED_IDENTIFIER für Enum-Wert

22.1.33 (2. November 2022)

Gemeinsam

Fehlerbehebung

  • Der in einer DVT-Distribution vorinstallierte Erweiterungsbuild vscode-1047 kann nicht aktiviert werden

22.1.32 (1. November 2022)

Gemeinsam

Leistung

  • vscode-1029 Verbessert die Leistung von Arbeitsbereichssymbolen
  • DVT-17481 Reduziert den Speicherbedarf während der Ausarbeitung eines Designs mit vielen Generierungen

Merkmale

  • vscode-633 Aufgaben vordefinierter Problem-Matcher für mehrere Simulatoren hinzugefügt

Fehlerbehebung

  • vscode-1015 Wenn Sie QuickPick verwerfen, wenn Sie einen eigenständigen Server für die Verbindung auswählen, wird weiterhin eine Verbindung zu einem Server hergestellt
  • vscode-1036 NullPointerException beim Öffnen des Refactor-Vorschaufensters
  • vscode-1040 BadLocationException wird beim Veröffentlichen von Diagnosen nach einem inkrementellen Build ausgelöst

Verbesserungen

  • vscode-885 Verbessert die Benutzerfreundlichkeit der Benutzeroberfläche beim Überschreiben eines vordefinierten Projekts
  • vscode-1016 Verbessern Sie die Benutzererfahrung, wenn die Lizenz nicht ausgecheckt werden kann
  • vscode-1033 Fehlermeldung bei TVT anzeigenKASSEHOOK schlägt fehl
  • vscode-1034 Möglichkeit, das Arbeitsverzeichnis beim Aufrufen von DVT anzupassenKASSEHAKEN
  • DVT-18264 Fügen Sie eine dedizierte Build-Konfigurationsanweisung für die Schleifenunterbrechung von Funktionsanweisungen hinzu

SystemVerilog

Leistung

  • DVT-18248 Verbessern Sie die Hyperlink-Leistung in bestimmten Kontexten

Merkmale

  • DVT-3004 Möglichkeit zur Navigation zwischen verbundenen TLM-Ports
  • DVT-18226 Möglichkeit, alle verbundenen TLM-Ports für einen ausgewählten TLM-Port anzuzeigen

Fehlerbehebung

  • DVT-18097 +dvtverschlüsseltCodeAutoAPI funktioniert nicht für Code, der mit „Pragma Protect Begin“ verschlüsselt wurdegeschützt/Endegeschützt
  • DVT-18208 Falscher UNDECLARED_IDENTIFIER-Fehler für parametrisierten Klassenaufzählungswert, auf den hierarchisch innerhalb des Klassenbereichs zugegriffen wird
  • DVT-18252 UVM-Bitfelddiagramme: Parameter werden in Konfigurationen nicht ausgewertet
  • DVT-18253 Falsche externe Einschränkungs-/Methodendeklaration, die bei der Suche nach einem Programm mit demselben Namen als Verwendung markiert wurde

Verbesserungen

  • DVT-18104 Nicht standardmäßige Unterstützung für den Aufruf des Klassenkonstruktors als Standardwert für Methodenargumente hinzugefügt
  • DVT-18128 +dvtverschlüsseltCodeAutoDie API sollte Parameter definieren, wenn sie innerhalb von Dimensionen verwendet wird
  • DVT-18189 Trigger-Syntaxfehler für fortlaufende Zuweisungen in Paketen
  • DVT-18260 Verbessert die Bewertungsleistung für Strukturen innerhalb von Strukturtypen in der Ausarbeitung

VHDL

Fehlerbehebung

  • DVT-17800 False AMBIGUOUS_SUBPROGRAM, wenn der Deklarationsumfang mehrdeutige Funktionen in einem Kontext umfasst

22.1.31 (20. Oktober 2022)

SystemVerilog

Fehlerbehebung

  • DVT-17516 Codeformatierung: Falsche vertikale Ausrichtung der entpackten Dimensionen für signierte Modulsignaldeklarationen
  • DVT-18174 Falsche Makroerweiterung für „nach Parameterverwendung“.
  • DVT-18196 Falsch VERFEHLTPARAMETEROVERRIDE-Fehler für spezialisierte Klassenweiterleitungstypdefinition

VHDL

Fehlerbehebung

  • DVT-18150 Falsches AMBIGUOUS_SUBPROGRAM für die Prozedur, gefolgt von der Array-Auswahl
  • DVT-18155 False TYPE_MISMATCH bei Verwendung des RANGE-Attributs

22.1.30 (11. Oktober 2022)

Gemeinsam

Fehlerbehebung

  • vscode-1010 Dateien aus der Kompilierungsreihenfolge/Entwurfshierarchie/Überprüfungshierarchie können nicht geöffnet werden, wenn sie sich auf einem von Windows zugeordneten Netzlaufwerk befinden
  • DVT-18171 Falscher Parameterwert bei Zuweisung zu einer nicht konstanten Funktion

Verbesserungen

  • DVT-18172 dvtAusarbeitungSchleifeBlockCutoff sollte auch for/while/repeat-Anweisungen in Funktionsauswertungen einschränken

SystemVerilog

Fehlerbehebung

  • vscode-1025 Fehlende Überschreibungsanmerkungen, wenn mehrere sichtbare Editoren vorhanden sind
  • DVT-18107 TriggersignalMEHREREDRIVERS-Warnung für Anfangswerttreiber

Verbesserungen

  • DVT-15168 Bitfelddiagramme: Möglichkeit, die Registerfeldtabelle neben dem Diagramm zu speichern
  • DVT-18044 Nicht spezifiziert bewerbenSTANDARDFEHLENAUFGABEIMPLEMENTATION-Warnung zu Fehler

VHDL

Fehlerbehebung

  • DVT-18161 Es wird keine SENSITIVITY_MISSING-Warnung im Gültigkeitsbereich innerhalb einer lokalen Prozessfunktion/-prozedur ausgegeben

22.1.28 (28. September 2022)

Gemeinsam

Fehlerbehebung

  • DVT-17974 Falsche ZUORDNUNGNICHTBLOCKING-Warnung in sequentieller Blockierung immer mit Ereignissteuerungsfehler
  • DVT-18109 Das +dvtSatzRichtlinienofargs funktioniert nur innerhalb des ersten +dvt_init-Abschnitts
  • DVT-18127 Thread Dump Collector: Behebt defekte Zips, die durch SIGINT-Signale verursacht wurden

Verbesserungen

  • DVT-17409 Build-Konfiguration: Die Direktive -ccwarn sollte ein Argument annehmen
  • DVT-17593 Build-Konfiguration: Die Direktive -denalipath sollte ein Argument annehmen
  • DVT-17776 Build-Konfiguration: -dmswrealDie init-Direktive sollte ein Argument annehmen
  • DVT-17937 Build-Konfiguration: -maxstetsPrune sollte ein Argument annehmen

SystemVerilog

Leistung

  • DVT-18124 Verbessert die Leistung der inkrementellen Kompilierung, wenn die enthaltene Datei viele externe Methoden/Einschränkungen enthält

Merkmale

  • DVT-17817 Vorschlag zur automatischen Vervollständigung für ein Zuweisungsmuster hinzugefügt, das eine Strukturtypvariable initialisiert

Fehlerbehebung

  • DVT-18071 Falsche NUTZUNGVORDECLARATION-Fehler für den Typ des Nicht-ANSI-Ports

VHDL

Fehlerbehebung

  • DVT-17578 Falsche AusgabeVONBOUNDS_SELECT-Fehler insgesamt ausgelöst
  • DVT-17579 Falscher UNDECLARED_IDENTIFIER, wenn das Alias-Objekt eine Array-Auswahl gefolgt von einem Mitgliedszugriff ist
  • DVT-17584 SENSITIVITY_MISSING darf nicht innerhalb einer im Prozess deklarierten Funktion/Prozedur ausgelöst werden
  • DVT-17775 Falsch MISSING_CONSTRAINT, wenn der Untertyp das Schlüsselwort open verwendet

22.1.27 (13. September 2022)

Gemeinsam

Leistung

  • DVT-17444 Verbessert die Berechnung der Parametertextwerte für große Arrays

Fehlerbehebung

  • vscode-970 Falscher Breadcrumb beim Generieren eines Diagramms aus der Entwurfs-/Verifizierungshierarchie
  • vscode-994-Designdiagramme: Manchmal generiert „Verbindungen anzeigen“ ein unvollständiges Diagramm
  • vscode-1014 Codeaktionen: Bearbeitung am falschen Offset eingefügt, wenn sich der Trigger-Editor vom Ziel-Editor unterscheidet

Verbesserungen

  • DVT-17893 Build-Konfiguration: Vordefinierte Umgebungsvariable hinzufügenXRUNROOTim xcelium.xrun-Kompatibilitätsmodus
  • DVT-17938 Möglichkeit, die Anzahl der Argumente für Build-Konfigurationsanweisungen mithilfe von +dvt anzupassenSatzRichtlinienofargs

SystemVerilog

Fehlerbehebung

  • DVT-17948 Falscher UNDECLARED_IDENTIFIER-Fehler wird ausgegeben, wenn eine gebundene Instanz in hierarchischen Zugriffen verwendet wird
  • DVT-18045 False ILLEGALKONSTANTEASSIGN-Fehler für Enum-Literal, das mit Wiederholung in Bins verwendet wird
  • DVT-18059 Falscher UNDECLARED_IDENTIFIER-Fehler bei Inkrementierung für auf Bibliotheksebene deklarierte Bindungsinstanz

22.1.26 (7. September 2022)

Gemeinsam

Fehlerbehebung

  • Das Update der Build-Konsole vscode-976 verzögert sich einige Sekunden nach Abschluss des Builds

Verbesserungen

  • vscode-1012 Code Factory: Verbessern Sie Leistung und Benutzerfreundlichkeit

SystemVerilog

Leistung

  • DVT-17957 Verbessert die Leistung der inkrementellen Kompilierung für Dateien, die Importklauseln und eingeschlossene Dateien enthalten

Fehlerbehebung

  • DVT-18021 USAGE nicht auslösenVORDECLARATION-Fehler für Feldverwendungen in benannten Aktionsblöcken, wenn die Deklarationsdatei mehrmals kompiliert wird

Verbesserungen

  • DVT-17891 Fehler auslösen, wenn das Strukturzuweisungsmuster mehr Assoziationen hat, als die Struktur Felder hat

22.1.25 (30. August 2022)

Gemeinsam

Fehlerbehebung

  • vscode-966 Manchmal sind Design- und Verifizierungs-Breadcrumbs nicht sichtbar
  • vscode-1002 Dateien, die in der Ansicht „Kompilierte Dateien“ angezeigt werden, sollten nicht von der Einstellung „DVT.workspaceSymbols.maximumNumberOfSymbols“ betroffen sein
  • vscode-1005 Arbeitsbereichssymbole: In Windows kann nicht zur Datei navigiert werden
  • DVT-14659 Ausarbeitung der Unterstützung für eine Konfiguration, die in einer anderen Konfiguration mit Instanzpfaden relativ zur ersten verwendet wird

Verbesserungen

  • DVT-17860 Generierte Thread-Dumps automatisch komprimieren

SystemVerilog

Verbesserungen

  • DVT-17909 FilterbreiteFEHLERHAFTPADDING-Warnungen auf 'uvmBitstromt ' vordefinierter Typ

VHDL

Fehlerbehebung

  • DVT-17977 Falsche Auswertung der Verkettung bei linksseitiger Typkonvertierung

22.1.24 (22. August 2022)

Gemeinsam

Fehlerbehebung

  • vscode-995 Behalten Sie den Cursorfokus beim Anzeigen der Build-Konsole bei
  • vscode-998 IllegalStateException wird ausgelöst, wenn „In Modul extrahieren“ verwendet wird

Verbesserungen

  • vscode-878 Code Factory: Möglichkeit zur Verwendung benutzerdefinierter Vorlagen
  • vscode-961 Durch die Verwendung von „Diagramm anzeigen“ für einen Port in der Portansicht der Designhierarchie sollte ein Verbindungsdiagramm generiert werden
  • vscode-981 Begrenzen Sie die Anzahl der in der Workspace-Symbolansicht angezeigten Symbole

SystemVerilog

Leistung

  • DVT-17980 Verbessert die Build-Leistung für Projekte, die verschlüsselten Code enthalten

Fehlerbehebung

  • vscode-989 UML-Diagramme: Leerer Diagrammeditor nach Neuaufbau
  • DVT-17884 Falsch UNDECLARED_IDENTIFIER wird gemeldet, wenn der Standardwert eines Covergroup-Parameters auf einen zuvor definierten Parameter verweist

Verbesserungen

  • DVT-11030 Verbesserte Argumentprüfung für Systemfunktionsaufrufe

22.1.23 (16. August 2022)

Gemeinsam

AUFRÄUMEN

  • DVT-17912 BuildCancelException wird in einigen Fällen nicht angezeigt, nachdem die Ausarbeitung abgebrochen wurde

Merkmale

  • vscode-697 Scope Breadcrumb: Möglichkeit zum Kopieren qualifizierter Namen

Fehlerbehebung

  • vscode-873 FSM-Diagramme: Der Inhalt der Übergangstabelle wird beim Anwenden von Einstellungen dupliziert
  • vscode-887 Das Öffnen eines Ordners von einem zugeordneten Netzlaufwerk funktioniert nicht
  • vscode-929 und vscode-959 Breadcrumb wird beim Wechsel vom Texteditor zum Diagramm nicht aktualisiert
  • vscode-952 Leere Werte des Arguments -lang in dvt ignorierenls.sh und dvtcode.sh
  • vscode-974 NPE beim Berechnen von Breadcrumb nach der Verwendung von Hyperlinks
  • vscode-975 NPE beim Berechnen der Diagnose nach dem inkrementellen Build
  • vscode-979 Fehler beim Aufrufen des Befehls „Aktivieren“ in einem leeren Verzeichnis
  • vscode-982 Die Terminalumgebung wird fälschlicherweise geändert, wenn die geerbten Umgebungsvariablen Zeichenfolgen mit anderen Umgebungsvariablen enthalten

Verbesserungen

  • vscode-707 Faltbereich serverseitig implementieren
  • vscode-821 WaveDrom-Diagramme: Zeigt eine Meldung im Tooltip an, wenn die Wave-Beschreibung die Zeichenbeschränkung überschreitet
  • vscode-904 Bei Verwendung von dvt auf obligatorisches -lang-Argument prüfenls.sh und dvtcode.sh
  • vscode-905 Aufforderung zur Auswahl der Projektsprachenunterstützung, wenn in den Dateien [build].ls oder .project keine Sprache gefunden wird
  • Das vscode-935 Wavedrom-Diagramm wird während der Eingabe aktualisiert
  • vscode-980 Wechseln Sie zu Java 11 für Linux ARM64 und macOS Apple Silicon
  • DVT-15941 Legen Sie einen Grenzwert für die Anzahl inkrementell adaptiv erarbeiteter Instanzen fest
  • DVT-17678 Faltbereich für #region/#endregion-Block hinzufügen
  • DVT-17934 Verbesserung der Wiederholungserkennung für Instanzen unter Generierungsblock während der Ausarbeitung
  • DVT-17935 Option hinzugefügt, um die maximale Wiederholungstiefe für Instanzen unter Generierungen zu konfigurieren

SystemVerilog

Merkmale

  • vscode-225 Möglichkeit, zum Case-Zweig zu springen

Fehlerbehebung

  • vscode-903 Durch das Ändern der Vorverarbeitungsdefinitionen in der Build-Konfigurationsdatei wird der inaktive Codehintergrund für die geöffneten Dateien nach dem vollständigen Build nicht aktualisiert
  • vscode-973 Der Hintergrund des inaktiven Codes wird nach dem vollständigen Build nur für den aktiven Editor angezeigt
  • vscode-988 UVM-Komponentendiagramm: Das Aktualisieren des Diagramms nach dem vollständigen Build oder inkrementellen Build bleibt bei „Diagramm wird generiert…“ hängen.
  • vscode-992-Inhaltsfilter funktionieren nicht bei Linting-Fehlern
  • DVT-17509 Warnung wegen falscher Breitenkonflikte, wenn der Array-Operand einen ganzzahligen Überlaufwert falsch berechnet hat
  • DVT-17524 Unterstützung für Vlogan-Aufrufe beim Konfigurieren der Build-Konfiguration mit +dvtdrinfrom_simlog
  • DVT-17877 Unterstützung für Bereichsausdrücke nach der Verkettung hinzugefügt
  • DVT-17945 Lösen Sie keine ArrayIndexOutOfBoundsException für die Bereichsauswahl im Array-Operanden mit falsch berechneter Dimension aus

Verbesserungen

  • vscode-871 Einstellung hinzufügen, um die Hintergrundfarbe des inaktiven Codes zu ändern
  • DVT-9379 Überprüfen Sie die Vollständigkeit des Strukturzuweisungsmusters
  • DVT-17764 Unterstützung für die Direktive +defineall+ im xcelium.xrun-Kompatibilitätsmodus hinzugefügt
  • DVT-17863 Triggerfehler für die Implementierung doppelter Einschränkungen
  • DVT-17897 Triggerfehler statt Warnung für doppelte Einschränkung
  • DVT-17911 Löst eine NON_STANDARD-Warnung aus, wenn Leerzeichen nach Zeilenfortsetzung in Makros erkannt werden

VHDL

Fehlerbehebung

  • DVT-15227 Das Bereichsattribut kann nicht für einen eingeschränkten Port in einer Schleifengenerierung verwendet werden

22.1.22 (2. August 2022)

Gemeinsam

Leistung

  • DVT-17878 Langsame inkrementelle adaptive Ausarbeitung beim Ändern von Paketinhalten, auf die in vielen Modulen verwiesen wird

Verbesserungen

  • vscode-793 Möglichkeit zum „Öffnen des Protokolls“ aus der DVT-Sprachserveransicht
  • DVT-17428 Die Formatierung der Natural Docs-Definitionsliste in Tooltips wird nicht korrekt wiedergegeben
  • DVT-17875 Unterstützt negative Werte für Schleifengenerierungsindizes

Fehlerbehebung

  • DVT-14925 Für Instanzen desselben Designs, aber mit unterschiedlichen Parameterwerten wurde eine falsche Wiederholung festgestellt
  • DVT-17834 Wiederholung bei inkrementeller Ausarbeitung nicht erkannt
  • DVT-17881 OutOfBoundsException wird bei der Auswahl aus einem entpackten Array ausgelöst

SystemVerilog

Verbesserungen

  • DVT-17760 Unterstützung für Zeitliterale und Zeittypen in der Ausarbeitung

Fehlerbehebung

  • DVT-17837 Der Zeckenguss sollte beim Gießen in einer bestimmten Größe das Vorzeichen bewahren
  • DVT-17886 Statische Variable in konstanter Funktion während der Ausarbeitung nicht initialisieren

VHDL

Fehlerbehebung

  • DVT-17575 Unterstützung für Nicht-Wert-Entitätsgenerika in der Ausarbeitung

22.1.21 (21. Juli 2022)

Gemeinsam

Fehlerbehebung

  • Die vscode-716-GUI friert ein, wenn die letzte Übereinstimmung aus den Verifizierungs-/Entwurfshierarchieansichten beim inkrementellen Build entfernt wird
  • vscode-805 Designhierarchie: Manchmal wird die Ansicht nach dem Erweitern/Reduzieren leer
  • vscode-926 JavaDoc-Tags werden nicht hervorgehoben
  • Der vscode-933-Befehl „Zum passenden Paar springen“ hat keine Auswirkung auf Dateien, die während des vollständigen Builds geöffnet werden
  • vscode-937 Wavedrom-Diagramme werden nicht für Dateien generiert, die während des vollständigen Builds geöffnet werden
  • vscode-953 Änderungen werden direkt angewendet, wenn der Befehl „Refactoring mit Vorschau…“ verwendet wird
  • vscode-954 „Ein Problem melden“ bleibt bei „Daten sammeln…“ hängen, wenn kein Ordner geöffnet ist
  • vscode-955 Ein Problem melden: Betriebssysteminformationen werden nicht erfasst

SystemVerilog

Verbesserungen

  • vscode-944 Verissimo: Möglichkeit, die Prüfung erneut auszuführen

Fehlerbehebung

  • vscode-947 Methoden überschreiben: Manchmal werden überschriebene Methoden nicht an der aktuellen Cursorposition eingefügt
  • vscode-950 Verissimo: Die Option „Fehlgeschlagene Prüfungen ausführen“ ist nicht verfügbar, obwohl das Projekt fehlgeschlagene Prüfungen enthält
  • vscode-951 Die Makroerweiterung zum Reduzieren in Dateien, die während des vollständigen Builds geöffnet wurden, hat keine Auswirkung
  • DVT-16701 Unterstützung für den Formatbezeichner %m bei der Auswertung von $sformatf hinzugefügt

VHDL

Verbesserungen

  • DVT-17801 Enumerationskonstanten, die innerhalb der Architektur definiert sind, werden ausgefeilt

22.1.20 (11. Juli 2022)

Gemeinsam

Verbesserungen

  • vscode-795 Möglichkeit zum Aktivieren/Deaktivieren der DVT-Unterstützung in einem Arbeitsbereich
  • vscode-895 Ein Problem melden: Sammeln Sie alle verfügbaren Registrierungsdateien/Protokolle/Bootstrap-Protokolle, wenn kein Sprachserver aktiv ist
  • DVT-17743 Führen Sie nach einem konfigurierbaren Zeitschwellenwert eine automatische Profilierung der Designausarbeitung durch

Fehlerbehebung

  • vscode-648 Das Scrollen der Designhierarchie/Verifizierungshierarchie bleibt nach einer Abfolge von Aktionen hängen
  • vscode-938 Codevorlagen aktualisieren, um den Cursor nach dem Einfügen an der richtigen Position zu platzieren
  • DVT-17777 UNELABORATED_PACKAGE-Warnungen werden beim inkrementellen Build in der aktuell bearbeiteten Datei manchmal nicht ordnungsgemäß ausgelöst

SystemVerilog

Leistung

  • DVT-17734 Verbessert die Zeit für die Semantikprüfung für Projekte mit vielen Klassen, die auf andere Klassen mit demselben Namen spezialisiert sind
  • DVT-17773 Verbessert die Leistung für die Berechnung von Klassenwertparametern beim inkrementellen Build

Verbesserungen

  • vscode-942-Diagramme: Durch Auswahl von Wavedrom beim Aufrufen von „Diagramm anzeigen“ im Register wird das Bitfelddiagramm angezeigt
  • DVT-17675 Fehler bei umgekehrter Teileauswahl in SV-Ausdrücken

Fehlerbehebung

  • vscode-899 Verissimo: Es kann keine Linting-Sitzung über Remote-SSH gestartet werden, wenn über „Dateisystem durchsuchen…“ ein Regelsatz oder eine Waivers-Datei ausgewählt wird.
  • vscode-927 Verissimo: Die Diagnose wird nach der erneuten Ausführung der Prüfungen nicht aktualisiert
  • vscode-932 Verissimo: Beim Öffnen der Prüfbeschreibung wird die Standardbeschreibung angezeigt, auch wenn sie angepasst wurde
  • vscode-936 Das Dialogfeld „Methoden überschreiben“ sollte immer die Implementierung von new(), pre ermöglichenrandomize() und postenrandomisieren()
  • vscode-943 UVM-Komponentendiagramm: Die Filter „Nicht verbundene Ports ausblenden“ und „Blöcke ohne Ports ausblenden“ funktionieren nicht ordnungsgemäß
  • vscode-945 Verissimo: „HTML-Bericht generieren und öffnen“ hat keine Auswirkung, wenn bereits ein HTML-Bericht geöffnet ist
  • DVT-17583 Gleichheitsoperatoren geben X zurück, auch wenn die Beziehung nicht mehrdeutig ist
  • DVT-17770 Fehler bei falscher Breiteninkongruenz, wenn der Operand einer lokalen Funktionsvariablen denselben Namen wie ein Modulparameter hat

22.1.19 (28. Juni 2022)

Gemeinsam

Verbesserungen

  • vscode-721 Möglichkeit, VS Code/VSCodium mit dvt_cli.sh -vscode zu starten
  • vscode-806 Kompilierungsreihenfolge: Möglichkeit, nur Dateien mit Fehlern anzuzeigen
  • DVT-14954 Zuweisungen auswerten, bei denen die linke Seite eine hierarchische Kennung ist
  • DVT-17703 Nr. umbenennenGENERIERENBLOCKEVAL-Wert der Ausarbeitungskontrollrichtlinie für JEDENGENERIERENBLOCKEINMAL
  • DVT-17704 Option hinzugefügt, um Blöcke nicht ausführlich zu generieren

Fehlerbehebung

  • DVT-17695 Fehlende UNELABORATED_PACKAGE-Warnung für importiertes Paket im nicht ausgearbeiteten Modul

SystemVerilog

Verbesserungen

  • DVT-17602 AUSGANG deaktivierenHAFENREAD-Prüfung für SVA-Verwendungen
  • DVT-17702 Unterstützung für anonyme Union/Struktur, die in einer gepackten Struktur in der Auswertung verschachtelt ist

Fehlerbehebung

  • DVT-17482 Falsch ILLEGALTYPREFERENCE-Fehler für Typdefinition der virtuellen Schnittstelle innerhalb der Schnittstellenfunktion
  • DVT-17686 Falsch UNDEFINED_OPERATOR-Fehler beim Zuweisen eines Byte-Arrays ohne Vorzeichen zu einem Typedef-kompatiblen Array
  • DVT-17699 Nicht auslösen ILLEGALNICHTSCHNITTSTELLEVIRTUELLFIELD-Fehler, wenn der Schnittstellenname mit einer umschließenden Bereichs-Typedef-Deklaration übereinstimmt
  • DVT-17722 Semantische Fehler in nicht ausgearbeiteten Modulen verschwinden beim inkrementellen Build, wenn kein Modul ausgearbeitet wird
  • DVT-17724 Semantische Fehler werden im gesamten Projekt nicht aktualisiert, wenn die Signierung eines Modulsignals geändert wird

VHDL

Fehlerbehebung

  • DVT-17570 Falsch UNVOLLSTÄNDIGARRAYAGGREGATE-Fehler bei der Array-Initialisierung

22.1.18 (15. Juni 2022)

Gemeinsam

AUFRÄUMEN

  • vscode-843 Trace-Protokoll in eine neue Konsole verschieben
  • vscode-893 Serverprotokoll und Clientprotokoll in getrennte Konsolen verschieben

Verbesserungen

  • vscode-728 Popup-Fenster zum Neustart des Servers anzeigen, wenn die .ls-Datei geändert wird
  • vscode-794 Bereinigen Sie regelmäßig veraltete Sprachserver-Protokolldateien
  • vscode-838 Popup zum Neustart des Servers anzeigen, wenn serverrelevante Einstellungen geändert werden

SystemVerilog

Fehlerbehebung

  • DVT-14133 UVM-Komponentendiagramm: TLM-Portverbindungen werden nicht angezeigt, wenn der Komponenteninstanzname nicht mit dem Feldnamen übereinstimmt
  • DVT-14835 Löst eine DUPLICATE_IDENTIFIER-Warnung aus, wenn der Bindungszielbereich bereits ein Feld mit demselben Namen wie die Bindung enthält
  • DVT-17658 Build bleibt während der Ausarbeitung einiger makrodefinierter Strukturdatentypparameter hängen
  • DVT-17701 Unterstützung für Zuweisungsverschiebung in der Auswertung

VHDL

Fehlerbehebung

  • DVT-17671 Falsche Verweise in Prozeduraufrufen für gleichnamige Enum-Literale in einem Bereich

22.1.17 (8. Juni 2022)

Gemeinsam

Merkmale

  • vscode-826 Dateidekoratoren für kompilierte/verschlüsselte/übersprungene Dateien hinzufügen

Fehlerbehebung

  • vscode-701 Manchmal ist die Auswahl „Open Design Breadcrumb Instance“ falsch
  • vscode-740 Design Breadcrumb: Falsche Aktualisierungen beim Öffnen von Instanzen aus der Designhierarchie
  • vscode-741 Design Breadcrumb: Der Befehl „Andere Design-Breadcrumb-Instanz auswählen“ hat keine Auswirkung, wenn der aktive Editor ein schematisches Diagramm enthält
  • vscode-801 Design Breadcrumb: Falsche Aktualisierungen beim Auslösen von „Open Design Breadcrumb Instance“
  • vscode-828 Design Breadcrumb: Falsche Aktualisierungen beim Doppelklick auf einen Port in der Designhierarchie
  • vscode-837 Design-Breadcrumb-Navigation funktioniert nicht, wenn der aktuelle Editor ein Designdiagramm enthält
  • vscode-868 Manchmal löst der Befehl „Zum passenden Paar springen“ unnötiges Scrollen aus
  • vscode-897 Manchmal wird unter Windows der Fehler „dvt_ls.bat wird nicht als interner oder externer Befehl, ausführbares Programm oder Batchdatei erkannt“ ausgegeben

SystemVerilog

Merkmale

  • vscode-395 Unterstützung für Verification Breadcrumb hinzufügen

Verbesserungen

  • vscode-471 „Step Into“-Aktion für UVM-Komponentendiagramme hinzugefügt
  • vscode-616 Beim Auslösen von „Diagramm anzeigen“ aus der Befehlspalette auf einer UVM-Komponente sollte nach dem Diagrammtyp (UML oder UVM-Komponente) gefragt werden.
  • DVT-17650 Erweiterte Unterstützung für Bitvektorsystemfunktionen in der Auswertung

Fehlerbehebung

  • vscode-894 Beim Starten einer neuen Verissimo-Sitzung mit dem Regelsatz „Nicht-Standardregeln“ wird stattdessen der „Grundregelsatz“ ausgeführt
  • DVT-15358 Es wird kein Fehler gemeldet, wenn auf einen Basisnamen eines aufgezählten Typbereichs verwiesen wird, ohne den Index anzugeben
  • DVT-17027 Falscher Enum-Literalwert bei Angabe mit Index aus dem Enum-Bereich

VHDL

Fehlerbehebung

  • DVT-17569 Falscher AMBIGUOUS_EXPRESSION für Funktion mit optionalem Argument

22.1.16 (31. Mai 2022)

Gemeinsam

Merkmale

  • vscode-841 Möglichkeit, Build-Konfigurationsargumente an dvt zu übergebencode.sh und dvtls.sh mit -f, -F oder -name.build args

Verbesserungen

  • vscode-845 Popup anzeigen, um die Natur zu deaktivieren, wenn nur eine Sprachlizenz verfügbar ist

Fehlerbehebung

  • vscode-859 Der Neuaufbau sollte nicht gestoppt werden, wenn die bereitgestellte Kompilier-Waivers-Datei ungültig ist
  • Die Erweiterung vscode-875 funktioniert nicht über Remote-SSH, wenn VS Code Insider verwendet wird
  • vscode-876 „Problem melden“ bleibt bei der Verwendung von VS Code Insiders in „Daten sammeln…“ hängen
  • vscode-877 Die Aufforderung zur Auswahl der Projektart wird nicht immer angezeigt, wenn ein DVT-Projekt ausgehend von einem leeren Verzeichnis erstellt wird
  • vscode-879 Die Aufforderung zur Auswahl der Projektart zeigt falsche Informationen an, wenn das Flag -lang für dvt_code.sh angegeben wird
  • vscode-881 Language Server kann nicht gestartet werden, wenn der Projektpfad Leerzeichen enthält
  • DVT-17043 Pakete, die als nicht ausgearbeitete Pakete importiert werden, gelten als ausgearbeitet

SystemVerilog

Leistung

  • DVT-17564 Verbessern Sie die Bewertungsleistung der Verkettungsreplikation
  • DVT-17600 Verbessert die semantische Prüfzeit für Projekte mit vielen Klassenspezialisierungen

Verbesserungen

  • DVT-17587 Erweiterte Unterstützung für mathematische Systemfunktionen in der Auswertung

Fehlerbehebung

  • vscode-857 Verissimo: Die Build-Konsole wird manchmal nicht richtig gelöscht
  • vscode-864 Verissimo: Manchmal führt die Auswahl der Verzichtsdatei zu „Ungültige Verzichtsdatei!“
  • DVT-17588 Falscher Tooltip für Parameter ohne Datentyp und unbekanntem Wert

VHDL

Fehlerbehebung

  • DVT-17577 NullPointerException wird bei der Semantikprüfung der Typkonvertierung ausgelöst
  • DVT-17605 Die Suche nach Referenzen auf die Enum-Literal-Definition funktioniert nicht, wenn im umschließenden Bereich ein anderes Enum-Literal mit demselben Namen definiert ist

22.1.15 (18. Mai 2022)

Gemeinsam

Fehlerbehebung

  • Die Erweiterung vscode-872 funktioniert nicht über Remote-SSH und wirft den Fehler „Maximale Aufrufstapelgröße überschritten“ aus

22.1.14 (17. Mai 2022)

Gemeinsam

Leistung

  • DVT-17563 Verbessert die Leistung semantischer Prüfungen im nicht ausgearbeiteten Design

AUFRÄUMEN

  • vscode-870 Ändern Sie die ID von DVT-Designs, damit sie mit ihren Namen übereinstimmen

Verbesserungen

  • vscode-722 -map-Unterstützung für dvt hinzufügencode.sh und dvtls.sh
  • vscode-768 Möglichkeit, das aktuelle Fenster wiederzuverwenden, wenn dvt_code.sh vom eingebetteten Terminal aus verwendet wird

Fehlerbehebung

  • vscode-804 Ein neuer Sprachserver wird immer gestartet, unabhängig vom Wert von DVT.lingualServer.selection
  • vscode-863 Language Server kann nicht gestartet werden, wenn der Wert der Einstellung DVT. languageServer.launchCommand einfache Anführungszeichen enthält
  • DVT-17493 Falsche UNELABORATED_MODULE-Warnung nach inkrementeller Ausarbeitung für gebundenes Modul

22.1.13 (9. Mai 2022)

Gemeinsam

VERALTET

  • DVT-17543 dvt veraltetAusarbeitungAusdruckOperatorControl-Build-Direktive

Verbesserungen

  • vscode-761 Möglichkeit, in der Datei dvt_build.log zu sehen, auf wie viele Probleme ein Kompilierungsverzicht angewendet wurde
  • DVT-17486 Build-Protokoll/-Ausgabe sollte bei allen Tools konsistent sein
  • DVT-17492 Möglichkeit, die Ausarbeitung von Instanzen basierend auf dem Pfadmuster zu überspringen
  • DVT-17536 Änderung +dvtAusarbeitungDie Direktive „skip_modules“ akzeptiert einfache Muster
  • DVT-17554 DVT änderndeaktivierenunbearbeitetPaketDer Name der Constants-Direktive muss mit anderen ähnlichen Direktiven übereinstimmen

SystemVerilog

Fehlerbehebung

  • DVT-17533 Falscher Hyperlink für Parameter-Standardwert, wenn mehrere Paketklassen Parameter mit demselben Namen haben
  • DVT-17538 Falsche Auswertung von $sformatf, wenn format_string fehlt
  • DVT-17540 Der in einer Warteschlange verwendete Streaming-Operator kann nicht ausgewertet werden

VHDL

Verbesserungen

  • DVT-17445 Parameterzeichenfolgenwerte für VHDL-Arrays überarbeiten

22.1.12 (3. Mai 2022)

Gemeinsam

ENTFERNT

  • vscode-839 DVT.textEditor.highlight.enableSemanticHighlight-Einstellungen entfernen

Verbesserungen

  • vscode-759 Hervorhebung in vordefinierten VS Code-Designs verbessern
  • vscode-831 Befehl zum Springen zur Paarklammer oder zum passenden Anfangs-/Endblock hinzugefügt
  • vscode-840 Verbessert die DVT-Light- und DVT-Dark-Themen

Fehlerbehebung

  • vscode-785 DVT Light Theme: Eingabefelder auf der Einstellungsseite haben keine Ränder
  • vscode-848 Das Ausführen des dvt_ls-Skripts ohne „-build“-Argument führt manchmal zu einer falschen Naturerkennung

SystemVerilog

Verbesserungen

  • vscode-823 Markieren Sie das passende Schlüsselwort, wenn Sie den Cursor auf „Anfang“/„Ende“ platzieren.
  • DVT-17182 Triggerwarnung für konstante Zahlen ohne Größe in der Bitverkettung

Fehlerbehebung

  • DVT-15936 Fehler gebundener Instanzen nach inkrementeller adaptiver Ausarbeitung bereinigt
  • DVT-17495 Gebundene Instanzen werden bei der inkrementellen adaptiven Ausarbeitung fälschlicherweise entfernt
  • DVT-17504 Bei der Suche nach einem Modul werden auch alle seine Instanzen mit ähnlichem Namen gemeldet

VHDL

Verbesserungen

  • DVT-17263 Unterstützung für die Aktualisierung von Prozedurausgabeargumenten in der Auswertung hinzugefügt

22.1.11 (18. April 2022)

Gemeinsam

Fehlerbehebung

  • vscode-824 Manchmal ist der Sprachserver nicht erreichbar, nachdem sein Eintrag in der Sprachserveransicht erweitert wurde

SystemVerilog

Fehlerbehebung

  • DVT-14141 Semantische Fehler in „eingeschlossenen Dateien“ verschwinden, wenn in der einschließenden Datei ein inkrementeller Build durchgeführt wird
  • DVT-17440 Wurf fehltPARAMETEROVERRIDE-Fehler, wenn keine Überschreibungen angegeben sind und der Parameter keinen Standardwert hat
  • DVT-17489 Ausnahme wird ausgelöst, wenn das Positionsstrukturzuweisungsmuster mehr Assoziationen hat, als die Struktur Felder hat

VHDL

AUFRÄUMEN

  • DVT-17451 Vordefiniertes Projekt „usbsimGeben Sie den Namen der Modellbibliothek an einen gültigen Bezeichner an

Verbesserungen

  • DVT-15518 Unterstützung für hinzugefügtstdulogicvector-Auswertungsfunktion in stdlogik_1164

22.1.10 (12. April 2022)

Gemeinsam

Verbesserungen

  • vscode-807 Version in der Diagnoseansicht anzeigen

Fehlerbehebung

  • vscode-666 Manchmal werden Ansichten leer, nachdem sie in der Benutzeroberfläche verschoben wurden
  • vscode-671-Sprachserveransicht: Die Clientinformationen sollten leer sein, nachdem die Verbindung zum Server getrennt wurde
  • vscode-753 Ein Problem melden: Remote-Host-Einstellungen werden bei Verwendung von Remote-SSH nicht erfasst
  • vscode-754 Wende keine automatische Transformation von Zeichen in Kommentaren an
  • vscode-788 Designhierarchieansicht: Manchmal ist das Kontextmenü im Portbereich nicht vollständig sichtbar
  • vscode-800 Manchmal zeigt der Befehl „Diagramm anzeigen“ einen leeren Editor an
  • vscode-813 Pfeile aus der Ansicht „Kompilierungsreihenfolge/Entwurfshierarchie/Überprüfungshierarchie“ werden in VS Code 1.66 nicht korrekt gerendert
  • vscode-818 Manchmal wird unter Windows der Fehler „‚common.bat‘ wird nicht als interner oder externer Befehl, ausführbares Programm oder Batchdatei erkannt“ ausgegeben
  • DVT-17461 ConcurrentModificationException wird manchmal bei der Ausarbeitung in einem gemischten Design ausgelöst, wenn eine Komponente mit impliziten Signalen an ein Modul bindet
  • DVT-17471 BuildCancelException wird nach Abbruch der Ausarbeitung nicht angezeigt

SystemVerilog

Merkmale

  • vscode-374 Unterstützung für Typhierarchie hinzufügen

Verbesserungen

  • DVT-17360 Fehler bei Verwendung des Klassenauflösungsoperators mit einem Präfix, das der schlichte Name einer parametrisierten Klasse ist
  • DVT-17372 Unterstützung für den statischen Zugriff auf die Auswertung von Klassenwertparametern während der Ausarbeitung

Fehlerbehebung

  • vscode-789 Überprüfungshierarchieansicht: Manchmal ist das Kontextmenü im Portbereich nicht vollständig sichtbar
  • DVT-17394 False SIGNALNICHTRESET-Warnung für Strukturmitglied
  • DVT-17438 Lokalparam-Überschreibung in der Ausarbeitung ignorieren

VHDL

Fehlerbehebung

  • DVT-17452 Die Diagrammgenerierung schlägt fehl, wenn sie für den Namen einer Komponente ausgelöst wird

22.1.9 (30. März 2022)

Gemeinsam

Verbesserungen

  • DVT-17404 Möglichkeit, die Ausarbeitung bei einem bestimmten Modul oder einer bestimmten Entität basierend auf dem Dateipfad zu stoppen

Fehlerbehebung

  • vscode-749 Einige Kommentare aus Build-Konfigurationsdateien werden nicht richtig hervorgehoben
  • vscode-774 Beim Trennen der Verbindung zu einem eingebetteten Sprachserver wird der Server nicht sofort geschlossen
  • vscode-778 NullPointerException wird beim Aufrufen der Formatierung für eine Build-Konfigurationsdatei ausgelöst
  • vscode-779 Der Befehl „DVT: Sprachen ändern…“ startet den Sprachserver nicht mit den neuen Sprachen neu
  • vscode-780 „DVT LS-Registrierungsdatei konnte nicht erstellt werden!“ In der Protokollkonsole wird eine Warnung ausgegeben
  • vscode-783 Language Server kann nicht gestartet werden, wenn das Erweiterungsverzeichnis Leerzeichen enthält
  • vscode-784 Manchmal wird unter Windows der Fehler „mkdir: Verzeichnis „C:/“ kann nicht erstellt werden“ ausgegeben
  • vscode-786 Die Ausgabe von benutzerdefinierten Skripten, die über den Befehl dvt.custom.script ausgeführt werden, kann nicht an andere Aufgaben übergeben werden
  • vscode-787 Der Befehl „DVT: Alle Diagnosen kopieren“ ist sichtbar, wenn die Erweiterung nicht aktiv ist

SystemVerilog

Fehlerbehebung

  • vscode-719 Falsche Syntaxhervorhebung von Ereignisarrays
  • DVT-9433 Triggerfehler für inkompatible Array-Operanden mit vorzeichenbehafteten bzw. vorzeichenlosen Elementtypen
  • DVT-17260 Falsche WIDTH_MISMATCH-Warnung, wenn einer der Operanden vom Union-Typ ist
  • DVT-17382 Das rechte Argument von Verschiebungsoperatoren sollte als vorzeichenlos behandelt werden
  • DVT-17386 Signalauswahl auswerten, wenn sie an den Systemfunktionsaufruf $bits übergeben wird
  • DVT-17388 Werten Sie den Ausdruck „X“ in Großbuchstaben aus
  • DVT-17411 Falsches OVERRIDEVIRTUELLMETHOD-Fehler für Typalias von Methodensignaturtypen
  • DVT-17417 Verwendungen anzeigen: Die Zeichenfolgenverwendung sollte als Writer markiert werden, wenn vordefinierte Schreibfunktionen darauf aufgerufen werden
  • DVT-17422 Falsch NEINBESTEHENDETYPE-Semantikfehler beim Mischen von Wildcard- und expliziten Importen und Exporten

VHDL

Fehlerbehebung

  • vscode-755 Aufgaben-Tags werden in VHDL-Dateien nicht hervorgehoben
  • vscode-777 Design Breadcrumb: „Andere Design-Breadcrumb-Instanz auswählen“ funktioniert nicht

22.1.8 (15. März 2022)

Gemeinsam

Merkmale

  • vscode-730 Möglichkeit, benutzerdefinierte DVT-Skripts als Aufgaben beim Start und mit dem Befehl dvt.custom.script auszuführen
  • vscode-744 Möglichkeit, DVT-Umgebungsvariablen an Terminal und Aufgaben zu übertragen
  • DVT-17389-Lizenz: Unterstützung für Inline-String-Lizenzquellen hinzufügen
  • DVT-17390-Lizenz: Unterstützung für plattformunabhängiges Lizenzquellentrennzeichen & hinzugefügt
  • DVT-17391-Lizenz: Unterstützung für die gleichzeitige Verwendung einer lokalen Lizenz durch mehrere Anwendungen unter demselben Benutzer hinzufügen

Verbesserungen

  • vscode-706 Möglichkeit, den Befehl „Methoden überschreiben“ über den Inhaltsassistenten auszulösen
  • vscode-715 Möglichkeit, die Argumente „name“ und „local“ im zu konfigurieren.ls-Datei
  • vscode-734 Möglichkeit zum Drucken der Sprachserverversion mithilfe der dvt_ls.sh-Version
  • vscode-772 Erweiterungsskripte zum PATH des integrierten Terminals hinzufügen
  • vscode-773 Verweisen Sie dvt_code.sh auf die Ausführung von VS Code/VSCodium-Binärdatei, wenn die Ausführung über das integrierte Terminal erfolgt
  • DVT-17345 Begrenzen Sie die maximale Anzahl der im Build-Protokoll gemeldeten Probleme
  • DVT-17373 DVT ändernAusarbeitungSchleifeBlockCutoff-Direktive, um höchstens die angegebene Anzahl von Schleifeninstanzen auszuarbeiten
  • DVT-17392-Lizenz: Senden Sie die Client-PID anstelle des unbenutzten und Dummy-Anzeigewerts an den Lizenzserver

Fehlerbehebung

  • vscode-752 Inhaltshilfe: Setter-/Getter-Vorschläge werden nicht angezeigt, wenn dem Präfix Tabulatoren vorangestellt sind
  • Der vscode-760 Waive-Fehler führt zum Neuladen des VS-Codes bei Verwendung von Remote-SSH
  • Die Erweiterung vscode-762 sollte nicht aktiviert werden, wenn der Arbeitsbereichsordner kein gültiges DVT-Projekt ist
  • vscode-767 Ein Sprachserver wird für ein Projekt gestartet, das sich im Arbeitsbereichsstatus befindet, selbst wenn ein leerer VS-Code geöffnet wird
  • DVT-17393-Lizenz: Die Unterstützung benutzerdefinierter Ports in lokalen Lizenzquellen wurde behoben

SystemVerilog

Leistung

  • DVT-17343 Semantische Prüfungen für Projekte mit vielen mehrstufigen Klassenspezialisierungen verbessern

Verbesserungen

  • DVT-17183 Änderungswarnmeldung für 32-Bit-Dezimalzahlen ohne Größe und ohne Basis mit Vorzeichenüberlauf
  • DVT-17336 Korrekte Auswertung der Bereichsauswahl mit mehrdeutigem Wert

Fehlerbehebung

  • vscode-713 Das Erweitern des Makros in einer separaten Datei funktioniert manchmal nicht
  • DVT-17216 Falscher semantischer Fehler ILLEGAL_ASSIGNMENT, wenn das Schnittstellenarray von Instanzen und der Schnittstellenarray-Port auf Schnittstellen in verschiedenen Bibliotheken verweisen
  • DVT-17303 Inhaltshilfe für externe Methode implementieren: Javadoc-Kommentar wird nicht generiert
  • DVT-17333 Der gepackte Wert ändert die Dimension nicht, wenn er dem VHDL-Parameter aus dem VLOG-Ausdruck zugewiesen wird
  • DVT-17337 Falsch NEINBESTEHENDEIn einigen Fällen tritt ein TYPE-Fehler auf, wenn ein Klassentyp mit einem Typparameter beginnt
  • DVT-17346 Keine Instanz eines Designs sollte als inkrementell geändert betrachtet werden, wenn das Design nicht geändert wird
  • DVT-17352 Falsche Signierung vor dem Typ in der QuickInfo zum Funktionsrückgabetyp
  • DVT-17377 Modul wird fälschlicherweise als inkrementell geändert betrachtet, wenn es implizite Signale enthält
  • DVT-17378 Modul gilt fälschlicherweise als geändert, wenn es eine Importklausel enthält
  • DVT-17379 Die Unterstützung für das Signieren vor der Funktionsrückgabe des Datentyps wurde entfernt

22.1.7 (2. März 2022)

Gemeinsam

Fehlerbehebung

  • vscode-743 Aktualisieren Sie die ersten vordefinierten Projekte
  • vscode-750 Durchläuft stillschweigend die Voraussetzungsprüfungen von dvt_code.sh, wenn ldconfig fehlt
  • vscode-751 Manchmal startet der Sprachserver unter Windows nicht und wirft einen PowerShell-Fehler bezüglich der „Puffergröße“ aus.

SystemVerilog

Fehlerbehebung

  • DVT-12402 Fehlender semantischer Fehler für „::' wenn Bereich1 kein übergeordnetes Element von Bereich2 ist
  • DVT-16975 Löst einen semantischen Fehler für eine unbekannte Schnittstellenkennung aus, die als Präfix der exportierten Modport-Funktionsdeklaration verwendet wird
  • DVT-17321 Falsche ILLEGAL_ASSIGNMENT-Warnung, wenn Operanden eine unterschiedliche Anzahl gepackter Dimensionen haben, aber gleich groß sind

VHDL

Fehlerbehebung

  • DVT-17313 FSM-Diagramme: Das Diagramm wird in einigen Fällen nicht generiert, wenn eine nächste Variable verwendet wird

22.1.6 (23. Februar 2022)

Gemeinsam

Leistung

  • DVT-17284 Verbessern Sie die Ausarbeitungsleistung für Instanzen mit vielen Portverbindungen

Merkmale

  • vscode-710 Fügen Sie Einstellungen hinzu, um Umgebungsvariablen festzulegen, die für die Erweiterung und die von der Erweiterung gestarteten Sprachserver sichtbar sind
  • DVT-17312 Möglichkeit, $HOME/.dvt mithilfe von DVT zu verschiebenBENUTZERDIR-Umgebungsvariable

Verbesserungen

  • DVT-17298 Option hinzugefügt, um die Ausarbeitung in einigen Fällen zu beschleunigen, indem mehr Arbeitsspeicher zugelassen wird

Fehlerbehebung

  • vscode-682 Überprüfungshierarchieansicht: Das Drücken der Schaltflächen Oben/Unten nach dem Kommentieren der Instanz führt zu einer leeren Ansicht
  • vscode-684 Überprüfungshierarchieansicht: Manchmal friert die Benutzeroberfläche ein, nachdem die Suchleiste gelöscht wurde
  • vscode-689 Möglichkeit zum Generieren von Wavedrom-Diagrammen auf macOS Apple Silicon und Linux ARM64
  • vscode-711 Öffnen Sie ein vordefiniertes Projekt: Sammeln Sie Projekte von mithilfe von DVT definierten StandortenVORDEFINIERTPROJEKTEEXT* Umgebungsvariablen
  • vscode-714 In einigen Fällen wird der falsche Build-Name in der Registrierung gespeichert, was zu einer „ResponseErrorException: InitializeParams.initializationOptions.buildConfiguration stimmt nicht mit der Build-Konfiguration des Sprachservers überein“ führt, wenn eine Verbindung zu diesem Sprachserver hergestellt wird
  • vscode-717 Verschieben Sie die Registrierungssperrdatei vom temporären Ordner in den Registrierungsordner, um Konflikte zu vermeiden
  • DVT-17277 Falscher tatsächlicher Wert für die Paketkonstante, nachdem ihr Wert inkrementell geändert wurde
  • DVT-17279 Paketkonstante UNGELÖSTPARAMETERDie VALUE-Warnung verschwindet nach inkrementeller Ausarbeitung

SystemVerilog

Leistung

  • DVT-17262 Verbessert die Auswertungsleistung für Aktualisierungen der Bereichsauswahl

Merkmale

  • DVT-7043 Verfügbare Module aus Bibliotheken (-v, -y) in Autoinstanz anzeigen

Verbesserungen

  • DVT-17304 Unterstützung für die Systemfunktionen $increment, $high, $low in Ausarbeitung

Fehlerbehebung

  • DVT-17130 Ungültiger Semantikfehler MULTIPLE_PACKAGES, wenn die zweite Deklaration nach der Verwendung importiert wird

VHDL

Fehlerbehebung

  • DVT-17266 Refactor-Umbenennung des Funktionsnamens hat keinen Einfluss auf die Endbezeichnung

22.1.5 (16. Februar 2022)

Gemeinsam

Fehlerbehebung

  • vscode-702 Manchmal sind Untermenüs aus dem Kontextmenü nicht vollständig sichtbar

SystemVerilog

Leistung

  • DVT-17252 Verbesserte Leistung bei der Auswertung von Pow mit sehr großem Exponenten

Verbesserungen

  • DVT-16973 Triggerfehler für Nicht-Checker-Instanz im Programm

Fehlerbehebung

  • vscode-704 Manchmal funktioniert das Falten nicht richtig
  • DVT-17218 Falscher Refactoring-Umbenennungsvorgang bei Anwendung auf eine Klasse, deren Header in einem Makro definiert ist
  • DVT-17259 Falsche Unterstreichung der UNDECLARED_MODULE-Warnung in einigen Fällen

22.1.4 (8. Februar 2022)

Gemeinsam

Verbesserungen

  • DVT-17028 Verbesserung der Ausarbeitungs-Tooltips für Parameter ohne tatsächlichen Wert
  • DVT-17209 LM_PROJECT-Wert im Lizenzprotokoll anzeigen

Fehlerbehebung

  • vscode-678 Design Breadcrumb funktioniert unter Windows nicht
  • vscode-680 URISyntaxException wird unter Windows ausgelöst
  • vscode-703 Highlight funktioniert bei Verwendung von DVT-Farbthemen nicht für andere Syntaxen außer SystemVerilog und VHDL
  • DVT-17181 Für den geänderten Parameternamen wird nach der inkrementellen adaptiven Ausarbeitung kein tatsächlicher Wert angezeigt

SystemVerilog

Fehlerbehebung

  • vscode-698 Code Lens: Das Auslösen von „Einschränkungen anzeigen“ führt zu einem Fehler, wenn der Cursor nicht auf der Rand-Variablen platziert ist
  • DVT-17171 Umbenennungs-Refactoring: Beim Umbenennen eines Modulparameters wird eine falsche potenzielle Übereinstimmung gemeldet
  • DVT-17214 Falscher Semantikfehler UNDECLARED_IDENTIFIER für Funktionsaufruf vor der expliziten Importdeklaration
  • DVT-17210 Für den Parameterwert wird ein falscher hexadezimaler Zeichenfolgenwert angezeigt

22.1.3 (31. Januar 2022)

Gemeinsam

Fehlerbehebung

  • vscode-676 Schnellkorrektur: „Port/Parameter hinzufügen“ funktioniert nicht sprachübergreifend
  • vscode-677 NullPointerException wird beim Auslösen von Hyperlinks auf einem vordefinierten Element ausgelöst
  • vscode-687 Design Breadcrumb funktioniert nicht, wenn ein Definitions-Hyperlink für ein ungültiges Element ausgelöst wird
  • vscode-693 Manchmal funktioniert die Spracherkennung nicht und eine NullPointerException wird ausgelöst, wenn -lang nicht angegeben ist

SystemVerilog

Verbesserungen

  • vscode-641 Content Assist: Generieren Sie Getter/Setter für ein einzelnes Feld, wenn Sie das Präfix des Felds schreiben
  • DVT-17064 Behandeln Sie Makrowertänderungen inkrementell
  • DVT-17158 Verlängern +dvtAusarbeitungdeaktivierenMethodeeval-Direktive zur Auswertung von Klassenwertparametern

22.1.2 (26. Januar 2022)

Gemeinsam

Fehlerbehebung

  • vscode-662-Diagramme: Tiefeneinstellungen werden manchmal fälschlicherweise als deaktiviert markiert
  • vscode-663 Design-Diagramme: Die Tiefenpräferenz ist in der Schnittstelle falsch festgelegt
  • Der Befehl vscode-672 „Designhierarchie anzeigen“ löst einen Fehler aus, wenn die DVT-Aktivität zuvor nicht fokussiert war
  • vscode-674-Diagramme: Filter bleiben beim Navigieren durch das Diagramm mithilfe des Design-Breadcrumbs nicht erhalten
  • vscode-675 Falsche Elementeinrückung in der Ansicht, wenn der Befehl „Designhierarchie anzeigen“ für ein nicht oberstes Element verwendet wird
  • vscode-690 Der Befehl „DVT aktivieren“ löst eine Ausnahme aus, wenn kein Arbeitsbereichsordner geöffnet ist
  • vscode-692 Einige Skripte funktionieren nicht ordnungsgemäß, wenn für einige Systembefehle immer ANSI-Farben festgelegt sind

SystemVerilog

Fehlerbehebung

  • Der Befehl vscode-673 „Verifizierungshierarchie anzeigen“ löst einen Fehler aus, wenn die DVT-Aktivität zuvor nicht fokussiert war

22.1.1 (18. Januar 2022)

Gemeinsam

Merkmale

  • DVT-2463 & DVT-8579 & DVT-13533 Zeigen den tatsächlichen Wert eines Parameters in seinem Tooltip an
  • DVT-14593 Triggerwarnung für nicht ausgearbeitetes Modul/Entität
  • DVT-14628 Zeigt bei der Ausarbeitung die Anzahl der Bits für Wertparameter an
  • DVT-14653 Zeigt den tatsächlichen Wert für eine ausgearbeitete Paketkonstante an
  • DVT-16742 Triggerwarnung für nicht ausgearbeitetes Paket
  • DVT-17078 Der Standardwert für +dvt wurde auf „true“ geändertermöglichenAusarbeitungsrichtlinie

Verbesserungen

  • vscode-638-Codeaktionen: Geben Sie die Richtung und Breite im QuickPick „Port hinzufügen“ vorab ein
  • vscode-654 Eigenständige Erweiterung in mehrere plattformspezifische Erweiterungen aufteilen
  • vscode-660 Möglichkeit, die Anzahl der in der Problemansicht angezeigten Diagnosen anzupassen
  • DVT-2513 Modulparameter in der Ansicht „Design-Hierarchie-Ports“ anzeigen
  • DVT-4773 Designdiagramm basierend auf ausgewerteten Ausdrücken in Generierungsblöcken generieren
  • DVT-6020 Die Aktion „Designhierarchie anzeigen“ sollte den aktuellen Design-Breadcrumb berücksichtigen
  • DVT-8936 und DVT-10066 Verbessern Sie die Ausarbeitung mehrerer Tops
  • DVT-12697 Design Breadcrumb sollte Cursor-sensitiv sein
  • DVT-14704 Möglichkeit zur Steuerung von Ausarbeitungsschritten
  • DVT-14809 Eine inkrementelle Ausarbeitung sollte nur bei geänderten Designs durchgeführt werden
  • DVT-14930 Hyperlinks: Möglichkeit, zur Zuweisung eines Parameters zu springen
  • DVT-15209 Neu hinzugefügtes Design beim Öffnen des Editors um eine Ebene ausarbeiten
  • DVT-17079 Möglichkeit, die Ausarbeitung einer bestimmten Zelle (d. h. Modul, Entität) basierend auf dem Namen zu stoppen
  • DVT-17082 Option hinzugefügt, um die Cut-Off-Nummer für ausgearbeitete Schleifenblöcke zu konfigurieren
  • DVT-17083 Löst Ausarbeitungswarnungen für fehlerhafte Schleifenblöcke aus
  • DVT-17084 Triggerfehler für ungültige Bibliotheksliste beim Ausarbeiten einer Instanz
  • DVT-17085 Trigger-Ausarbeitungsfehler für Konfigurationsoberseite mit ungültigem Design
  • DVT-17087 Trigger-Ausarbeitungsfehler für doppelte Oberteile
  • DVT-17092 Ausarbeitungswarnung für ungelösten Parameterausdruck auslösen
  • DVT-17093 Ausarbeitungswarnung für nicht aufgelösten Enum-Namensausdruck auslösen

Fehlerbehebung

  • vscode-596 Designhierarchieansicht: Wird automatisch gefüllt, wenn die Ausarbeitung aktiviert ist
  • vscode-597 Designhierarchieansicht: Bei aktivierter Ausarbeitung wird nur die erste Spitze angezeigt
  • vscode-618 Designhierarchieansicht: Das Umschalten der Ausarbeitung führt zu einer leeren Ansicht
  • vscode-629 Focusing Output Panel löscht den Design-Breadcrumb für den aktuellen Editor
  • vscode-634 Einige Befehle aus der Befehlspalette können nicht verwendet werden, wenn der Ausgabebereich fokussiert ist
  • vscode-651-Diagramme: Manchmal werden Einstellungen fälschlicherweise als aktiviert/deaktiviert markiert
  • vscode-652 Codeaktionen: Refactoring-Vorschläge sollten nur angezeigt werden, wenn der Befehl „Refactor…“ aufgerufen wird
  • vscode-659 TypeError wird bei Verwendung der Diagramme ausgelöst
  • vscode-661 Manchmal werden Diagramme nicht gerendert
  • vscode-664 Fehlende Berechtigungen für Remote-Erweiterungsdateien bei Verwendung von Remote-SSH
  • vscode-667-Ansicht „Kompilierte Dateien“: Wird automatisch ausgefüllt, wenn eine Verbindung zu einem Sprachserver hergestellt wird
  • DVT-14810 Aktualisieren Sie die Designhierarchieansicht inkrementell, um Bedingungsänderungen zu generieren
  • DVT-14833 Falscher UNDECLARED_TOP-Fehler für VHDL-Design (Entität, Konfiguration) in gemischten Projekten

SystemVerilog

Merkmale

  • vscode-595 Möglichkeit zum Überschreiben von Funktionen aus der Befehlspalette

Verbesserungen

  • vscode-636 Einstellung hinzufügen, um die Hervorhebung inaktiven Codes zu aktivieren/deaktivieren
  • DVT-7904 Bemaßung für Array von Instanzen in Entwurfsdiagrammen anzeigen
  • DVT-8770 Unterstützung für gebundene Instanzen in Designdiagrammen
  • DVT-11233 Falsche WIDTH_MISMATCH-Warnung beim Verbinden eines Logik[0:0]-Vektors mit einem impliziten Signal
  • DVT-13428 Löst einen DUPLICATE_ELEMENT-Fehler für gebundene Instanzen mit demselben Namen aus
  • DVT-14391 Nicht standardmäßige Unterstützung für einfache Zielinstanznamen, die nicht lokal in der Bind-Direktive enthalten sind
  • DVT-14646 Beim Sprung zur Deklaration für Ausarbeitungsfehler sollte der Breadcrumb auf den entsprechenden Pfad gesetzt werden
  • DVT-14702 Unterstützung für geordnete Parameterüberschreibungen in Konfigurationsregeln
  • DVT-14807 Defparam-Zuweisungen ausarbeiten
  • DVT-15181 Design-Breadcrumb: Hyperlinks außerhalb des Designbereichs sollten den Breadcrumb korrekt aktualisieren
  • DVT-16638 Build-Konfigurationsanweisung hinzugefügt, um irrelevante WIDTH_MISMATCH-Semantikfehler auszublenden
  • DVT-17080 Option zum Überspringen der Defparam-Ausarbeitung hinzugefügt
  • DVT-17081 Option hinzugefügt, um die Anzahl der Ausarbeitungsdurchgänge beim Auflösen von Bindungsanweisungen zu ändern
  • DVT-17086 Trigger-Ausarbeitungsfehler für Zyklen in der Parameterauswertung
  • DVT-17089 Trigger-Ausarbeitungsfehler für gebundene Instanz direkt unter gebundener Instanz
  • DVT-17090 Triggerfehler für unbekanntes Array des Instanzbereichs
  • DVT-17091 Triggerfehler für nicht ausgearbeitetes Bindungsdirektivenziel
  • DVT-17094 Ausarbeitungswarnung für Parameter ohne Wert auslösen

Fehlerbehebung

  • vscode-619 Ansicht kompilierter Dateien: Die aktive Datei wird nicht angezeigt, wenn sie keine Überschreibungsanmerkungen enthält
  • vscode-649 UVM-Komponentendiagramm: Aus einer Datei generierte Diagramme werden nach dem Ändern des Themas nicht angezeigt
  • DVT-7217 Die Prüfung hierarchischer Zugriffe in Designelementen, die nicht instanziiert sind, wird übersprungen
  • DVT-7231 und DVT-7575 Überspringen Sie die Überprüfung hierarchischer Zugriffe in Generierungsblöcken, die nicht aktiv sind
  • DVT-10917 Die Entwurfshierarchie wird bei Verwendung von -top top nicht korrekt berechnetName und TopName nicht gefunden
  • DVT-12030 Falscher Semantikfehler UNDECLARED_IDENTIFIER beim Zugriff auf ein Signal einer lokal gebundenen Instanz
  • DVT-12122 Die Instanz ist nicht gebunden, wenn die Bind-Anweisung auf einer niedrigeren Ebene der Designhierarchie gefunden wird
  • DVT-14871 Lösen Sie einfache Bezeichner auch in nicht ausgearbeiteten Designs und Generierungen auf
  • DVT-16018 Quick Fix: Manchmal wird beim Deklarieren eines For-Schleifen-Iterators mit „Variable deklarieren“ die neue Variable nicht am Anfang des Bereichs platziert
  • DVT-16491 Löst eine semantische Warnung aus, wenn die Signalbreite bei Instanz-Port-Verbindungen, an denen ein Schnittstellen-Instanzsignal beteiligt ist, nicht übereinstimmt
  • DVT-17050 Schnellkorrektur „Port deklarieren“: Die deklarierte Portbreite wird nicht korrekt berechnet

VHDL

Verbesserungen

  • DVT-11383 Triggerwarnung für generisches Problem, dem kein tatsächlicher Wert zugeordnet ist
  • DVT-12633 Löst Portfehler zwischen einer Komponente und ihrer Entitätsbindung aus
  • DVT-13034 Ausarbeitungswarnung für Komponente ohne Entitätsbindung auslösen
  • DVT-13381 Triggerfehler beim Angeben einer Architektur mit einem anderen Namen als der Blockkonfiguration
  • DVT-17095 Triggerfehler bei offener Überschreibung eines Parameters ohne Standardwert
  • DVT-17096 Ausarbeitungswarnung für ungelösten konstanten Ausdruck auslösen
  • DVT-17097 Triggerfehler für unvollständiges Array-Aggregat, das als Parameterwert verwendet wird
  • DVT-17098 Triggerfehler für doppelte Zuordnung im Aggregat, das als Parameterwert verwendet wird
  • DVT-17099 Triggerfehler für außerhalb des zulässigen Bereichs liegende Gesamtwerte, die als Parameterwert übergeben wurden

Fehlerbehebung

  • vscode-657 „Zur Definition gehen“ sollte zur Implementierung der Funktion statt zum Prototyp springen
  • DVT-15495 Nein FEHLTHAFENCONNECTION-Warnung beim Instanziieren des Verilog-Moduls in VHDL
  • DVT-16329 Subtyp-Einschränkungen werden bei der Bestimmung des Typs eines Generikums nicht ausgewertet
  • DVT-16903 Bei der Suche nach VHDL-Generika werden in SystemVerilog keine Referenzen gemeldet

21.1.53 (22. Dezember 2021)

Gemeinsam

Verbesserungen

  • vscode-626 Benutzeroberfläche für „In Modul extrahieren/Auswahl in Datei verschieben“ verbessern
  • vscode-607 Befehle in der Befehlspalette nur anzeigen, wenn der Kontext gültig ist

Fehlerbehebung

  • vscode-621-Designdiagramme: „Verbindungen anzeigen“ deaktiviert nicht die Option „Nicht verbundene Ports ausblenden“ im Einstellungsdialog
  • vscode-630 Diagramme werden nicht generiert, wenn der Cursor am Ende eines Elementnamens platziert wird
  • vscode-631-Sprachserver starten nicht unter Windows
  • vscode-632 dvt_code.sh unterstützt das Argument -lang nicht
  • vscode-637 Manchmal wird die Gliederungsansicht leer, nachdem eine Codeaktion rückgängig gemacht wurde

SystemVerilog

Fehlerbehebung

  • vscode-605 Hyperlinks zur Entitäts-/Architekturdeklaration funktionieren nicht, wenn sie von einer Verilog-Datei ausgelöst werden
  • vscode-622 Schlüsselwort „endinterface“ ist nicht hervorgehoben

21.1.52 (14. Dezember 2021)

Leistung

  • vscode-550 Verbessert die Leistung der semantischen Hervorhebung

Merkmale

  • vscode-127 Unterstützung für VHDL hinzufügen
  • vscode-391 Code Factory: Möglichkeit zum Erstellen von Komponenten
  • vscode-551 Codeaktionen: Port aus ausgewähltem Feld hinzufügen

Verbesserungen

  • vscode-485 Einstellung zum automatischen Aktivieren/Deaktivieren des Builds hinzufügen
  • vscode-523 Möglichkeit zur Anzeige in der Designhierarchie
  • vscode-539 Möglichkeit zur Anzeige in der Verifizierungshierarchie

Fehlerbehebung

  • vscode-445 Veraltete Diagnosen werden bei +dvt nicht gelöschtinkrementellDie Anweisung „compile_checks“ ist auf „false“ gesetzt
  • Die vscode-519-Signaturhilfe ist für Modulparameter nicht verfügbar
  • vscode-521 Dateien, die außerhalb des Gültigkeitsbereichs kompiliert wurden, werden in der Ansicht „Kompilierungsreihenfolge“ nicht angezeigt
  • vscode-558 Der Hintergrund des inaktiven Codes wird in der zweiten Ansicht nicht angezeigt, wenn der Editor vertikal geteilt ist
  • vscode-570 Die Arbeitsbereichseinstellung „file.associations“ sollte nicht mit Standardzuordnungen aktualisiert werden
  • vscode-584 Die semantische Suche nach Arbeitsbereichssymbolen liefert falsche Ergebnisse
  • vscode-589 Die QuickInfo zum Überschreiben von Anmerkungen sollte nicht die gesamte Funktionssignatur enthalten
  • vscode-609 „Diagramm anzeigen“, ausgelöst über die Befehlspalette, funktioniert nicht
  • vscode-612-Diagramme: Die Suchnavigation über die Tastatur springt nach der Aktualisierung fälschlicherweise zwischen den Übereinstimmungen
  • vscode-613 Die Webansichtsschaltflächen „Neuen Verzicht erstellen“ funktionieren nicht
  • vscode-615-Schlüsselwörter „generate“ und „endgenerate“ werden nicht hervorgehoben
  • vscode-617 Ein Problem melden: Der Bericht kann aufgrund des globalen Status nicht gesendet werden
  • vscode-620 Der aktive Editor sollte nach dem vollständigen Build nicht in der Ansicht „Kompilierte Dateien“ angezeigt werden, wenn die Ansicht reduziert ist

21.1.51 (6. Dezember 2021)

Fehlerbehebung

  • vscode-569 Design-Diagramme behalten ihren Typ nicht bei, wenn sie durch die Breadcrumb-Navigation navigieren
  • vscode-573-Diagramme: Problem mit der Navigation in der Symbolleiste nach der Durchführung eines Aktualisierungsvorgangs
  • vscode-575 Ein neues UVM-Komponentendiagramm wird jedes Mal generiert, auch wenn es bereits geöffnet ist
  • vscode-580 Ändern Sie die benutzerdefinierte Menükomponente von DVT WebViews in das VSCode-Look & Feel
  • vscode-581 Das Klickfeld für die Schaltflächen der Diagrammsymbolleiste ist im Vergleich zur Größe der Schaltfläche zu klein
  • vscode-582 Alle „Dialog“-Webviews-Panels sollten oben rechts über die „Dialog“-Schaltflächen verfügen
  • vscode-585 Unzulässiger reflektierender Zugriff durch ro.amiq.dvt.utils.DVTProcessRunner-Warnung bei Verwendung von Java >8
  • vscode-590 „Ein Problem melden“ funktioniert nicht
  • vscode-593 Schematische Diagramme: Durch das Anwenden von Filtern wird der Diagrammstatus zurückgesetzt
  • vscode-598 Diagramme entwerfen: Die Breadcrumb-Navigation aktualisiert den Diagrammeditor im Elab-Modus nicht
  • vscode-600 Diagramme passen sich nach dem Vergrößern/Verkleinern nicht an die geänderte Ansichtsgröße an

21.1.50 (23. November 2021)

Fehlerbehebung

  • vscode-526 Manchmal verschwinden Einträge in der Designhierarchie bei einem Doppelklick
  • vscode-559 Das dunkle Design wird in den Tooltips nicht ordnungsgemäß auf Wavedrom-/Bitfield-Diagramme angewendet, bis der Befehl „Präferenz: Farbdesign“ aufgerufen wird
  • vscode-562 „Ein vordefiniertes DVT-Projekt öffnen“ führt das Projekt am Speicherort zusammen, anstatt es zu überschreiben
  • vscode-564 Ein Problem melden: Die Ansicht wird nicht richtig angezeigt, wenn der Editor vertikal geteilt ist
  • vscode-566 Ein Problem melden: Falsche Besetztanzeige beim Abbrechen des Vorgangs „Als Zip speichern“.
  • vscode-568 Die Arbeitsbereichseinstellung „file.associations“ sollte zusammengeführt und nicht überschrieben werden

21.1.48 (16. November 2021)

Fehlerbehebung

  • vscode-527 Feedback beim Speichern/Senden des Problemberichts anzeigen
  • vscode-546 Design Breadcrumb: Manchmal kommt es bei der Verwendung von Hyperlinks zu falschen Aktualisierungen
  • vscode-547 Wenn Sie die Einstellungen nach der Ausführung von „Verbindungen anzeigen“ ändern, werden Diagramme angezeigt, die nicht an die Leinwand angepasst sind
  • vscode-561 Beim Starten einer neuen Verissimo-Sitzung mit dem Regelsatz „Alle (OVM) Regeln“ werden stattdessen „Grundlegende (OVM) Regeln“ ausgeführt

21.1.47 (8. November 2021)

Verbesserungen

  • vscode-514 Design-Diagramme: „Verbindungen anzeigen“ sollte automatisch in das Diagramm hineinzoomen
  • vscode-543 Möglichkeit zur Verwendung von Umgebungsvariablen in der dvt. languageServer.launch.command-Einstellung

Fehlerbehebung

  • vscode-541-Diagramme: Canvas wird zwischen Aktionen nicht ordnungsgemäß geleert
  • vscode-542 Manchmal werden Registrierungsdateien nicht erstellt, bevor der Client versucht, eine Verbindung zum Server herzustellen

21.1.46 (2. November 2021)

Verbesserungen

  • vscode-522 Unterstützung für Tooltips in Build-Konfigurationsdateien hinzugefügt

Fehlerbehebung

  • vscode-482 Manchmal ist das Kontextmenü in Ansichten nicht vollständig sichtbar
  • vscode-506 Design Breadcrumb: Wählen Sie die richtige Instanz eines Moduls aus, wenn Sie die Diagrammaktion „Zur Quelle gehen“ verwenden
  • vscode-538 Design Breadcrumb: Einige Diagrammaktionen aktualisieren den Breadcrumb nicht

21.1.45 (26. Oktober 2021)

Fehlerbehebung

  • vscode-491 Diagnosepositionen werden nach dem Einfügen von Leerzeichen nicht aktualisiert
  • vscode-503 Schematische Diagramme: Die nicht verbundenen Ports können nicht wieder angezeigt werden, nachdem sie ausgeblendet wurden
  • vscode-504 Falsche Erweiterungsanzeige in der Entwurfshierarchieansicht für das letzte Element
  • vscode-505 Design Breadcrumb: Die Navigationsleiste sollte nach dem Schließen eines Diagrammeditors gelöscht werden
  • vscode-511 „Diagramm anzeigen“ aus der Designhierarchie hat in einigen Fällen keine Auswirkung
  • vscode-513 Manchmal wird die Ansicht „Problem melden“ nicht richtig angezeigt
  • vscode-515 FSM-Diagramme: Hervorgehobene Zellen in der Übergangstabelle werden zwischen Auswahlen nicht gelöscht
  • vscode-516 FSM-Diagramme: In einigen Versionen von VSCode ist das Kontextmenü für die Diagrammauswahl nicht verfügbar
  • vscode-518 Design Breadcrumb: Beim Wechsel vom schematischen Diagramm zum FSM-Diagramm bleibt eine veraltete Navigationsleiste erhalten
  • vscode-524 Verifizierungshierarchie: „Diagramm anzeigen“ generiert ein neues Diagramm für dieselbe Instanz

21.1.44 (19. Oktober 2021)

Verbesserungen

  • vscode-497 Möglichkeit, WaveDrom-Beschreibungsdateien in Kommentare einzubetten

Fehlerbehebung

  • vscode-495 Der Cursor springt zur letzten Bearbeitung, wenn die Schnellkorrekturen „Port hinzufügen“ oder „Parameter hinzufügen“ angewendet werden
  • vscode-496 „Auswahl in Datei verschieben“ entfernt den ausgewählten Codeabschnitt nicht aus der ursprünglichen Datei
  • vscode-501 Der Befehl „Ein vordefiniertes DVT-Projekt öffnen…“ überschreibt den vorhandenen Ordner nicht
  • vscode-502 Ein Doppelklick auf den Instanzport ohne Verbindung in der Designhierarchieansicht sollte zur Portdeklaration springen
  • vscode-507 Einige Tooltips mit Bitfelddiagrammen werden in VSCode 1.60 nicht angezeigt

21.1.43 (11. Oktober 2021)

Verbesserungen

  • vscode-336 Symbolleiste in Diagrammen hinzufügen
  • vscode-439 Suche in Diagrammen hinzufügen

Fehlerbehebung

  • vscode-470 Das dunkle Design wird nicht auf Wavedrom- und Bitfield-Diagramme in Tooltips angewendet, wenn benutzerdefinierte Designs verwendet werden
  • vscode-489 Dasselbe Diagramm wird in separaten Editoren im Ausarbeitungsmodus gerendert

21.1.41 (27. September 2021)

Merkmale

  • vscode-381 Möglichkeit, zur Aufgabe zu springen

Fehlerbehebung

  • vscode-460 Verissimo: Falscher Titel beim Ausführen von „Open Ruleset Documentation“ mit einem vordefinierten Regelsatz
  • vscode-461 Verissimo: Falscher Name beim Ausführen von „Open Ruleset File“ mit einem vordefinierten Regelsatz

21.1.40 (21. September 2021)

Merkmale

  • vscode-457 Möglichkeit zum Kopieren des Hierarchiepfads in der Überprüfungs-/Entwurfshierarchieansicht
  • vscode-459 Möglichkeit, Text während der Eingabe automatisch umzuwandeln

Verbesserungen

  • vscode-456 Möglichkeit zum Erweitern/Reduzieren von Makros in einer neuen Datei
  • vscode-466 Ein Problem melden: Benutzerinformationen (Benutzername, E-Mail, Firma) aus der vorherigen Sitzung wiederherstellen

Fehlerbehebung

  • vscode-442 Leeren Statusindikator in der Design-/Verifizierungshierarchieansicht hinzufügen
  • Der Befehl vscode-458 „Mit einem Remote-Sprachserver verbinden“ weist ein zu restriktives Hostnamenmuster auf
  • vscode-462 Neuaufbau über Befehlspalette kann nicht ausgelöst werden
  • vscode-464 Das dunkle Design wird nicht auf Wavedrom- und Bitfield-Diagramme in Tooltips angewendet
  • vscode-465 Bitfelddiagramme: Die Registerfeldtabelle wird im Tooltip in VSCode 1.60 nicht korrekt angezeigt

21.1.39 (15. September 2021)

Merkmale

  • vscode-3 Dunkles Thema hinzufügen
  • vscode-49 UVM-Komponentendiagramm hinzufügen
  • vscode-50 Unterstützung für semantische Hervorhebung hinzufügen
  • vscode-447 Möglichkeit, zur Paarklammer oder zum passenden Anfangs-/Endblock zu springen

Verbesserungen

  • vscode-411 Design Breadcrumb: Genaue Aktualisierungen beim Navigieren mithilfe von Hyperlinks
  • vscode-440 Möglichkeit zum Aktualisieren von Diagrammen

Fehlerbehebung

  • vscode-444-Diagramme sollten nach Build-Ereignissen und beim Aktivieren/Deaktivieren von Inhaltsfiltern aktualisiert werden
  • vscode-452 Doppelklick auf das oberste Element in der Verifizierungshierarchie hat keine Auswirkung
  • vscode-453 FSM-Diagramme: Die Auswahl im Diagramm hebt Übergangstabellenelemente nicht hervor
  • vscode-454 Schematische Diagramme: Manchmal verschwinden Drähte, wenn der umschließende Block ausgewählt wird
  • vscode-455 Ansichten werden nach dem Starten einer Verissimo-Sitzung leer

21.1.38 (8. September 2021)

Merkmale

  • vscode-417 Möglichkeit zum Speichern von Diagrammen
  • vscode-427 Codeaktionen: Auswahl in Variable extrahieren
  • vscode-428-Codeaktionen: Auswahl in Methode extrahieren
  • vscode-435 Möglichkeit, Instanzen anzuzeigen
  • vscode-438-Codeaktionen: Deklarieren Sie den Prototyp einer externen Methode

Verbesserungen

  • vscode-215 Formatierungseinstellungen für Zeilenumbruch hinzufügen

Fehlerbehebung

  • vscode-415 Design Breadcrumb: Breadcrumb friert ein, wenn auf die Bezeichnung „Wiederholung erkannt“ geklickt wird
  • vscode-421 Design Breadcrumb: Falscher Breadcrumb beim Generieren eines Diagramms aus der Designhierarchie
  • vscode-434 Annotationen überschreiben: Fehlende Hyperlinks zu überschriebenen/schattierten Elementen
  • vscode-441 Design Breadcrumb: „Andere Instanz auswählen“ aktualisiert den Breadcrumb nach dem Editorwechsel
  • vscode-443 Der Hintergrund des inaktiven Codes wird nach der inkrementellen Erstellung nicht aktualisiert
  • vscode-449 Der Hintergrund des inaktiven Codes wird beim Öffnen einer neuen Datei nicht berechnet
  • vscode-450 Design Breadcrumb: Breadcrumb wird nach dem vollständigen Build nicht aktualisiert, wenn der Editor nicht fokussiert ist

21.1.36 (30. August 2021)

Merkmale

  • vscode-282 Codeaktionen: Unterstützung für „Port/Parameter hinzufügen“ hinzufügen
  • vscode-351 Möglichkeit, ein Problem zu melden
  • vscode-368 Möglichkeit, den Erweiterungsstatus zu überprüfen
  • vscode-397 UVM-Sequenzbaumansicht
  • vscode-407 Codeaktionen: Erweitern Sie .* Portverbindungen
  • vscode-408-Codeaktionen: Auswahl in Modul extrahieren
  • vscode-409-Codeaktionen: Mit Extern teilen/verknüpfen und implementieren
  • vscode-425-Codeaktionen: Methodenaufrufargumente nach Name/Position binden
  • vscode-426-Codeaktionen: Implizites Signal explizit deklarieren
  • vscode-429 Codeaktionen: Auswahl in neue Datei verschieben

Verbesserungen

  • vscode-401 Migrieren Sie die Ansicht „DVT Detached Servers“ in eine Editor-Webansicht, die auf Anfrage geöffnet wird (Befehlspalette).

Fehlerbehebung

  • Der vscode-422-Sprachserver reagiert nach einiger Leerlaufzeit nicht mehr

21.1.35 (23. August 2021)

Merkmale

  • vscode-418 Codeaktionen: „Extends“ in „Implementiert“ ändern
  • vscode-419 Codeaktionen: „Implementiert“ in „Erweitert“ ändern

Verbesserungen

  • vscode-230 Möglichkeit zur Durchführung einer semantischen Suche in Workspace-Symbolen

Fehlerbehebung

  • Die vscode-413-Problemansicht wird nach dem Herstellen einer Verbindung zu einem Sprachserver nach dem vollständigen Build nicht aktualisiert
  • vscode-416 Design Breadcrumb: StackOverflowError wird bei Designwiederholungen ausgelöst
  • vscode-420 Die Navigation durch Design Breadcrumb ist nicht möglich, wenn ein schematisches Diagramm geöffnet ist

21.1.34 (16. August 2021)

Merkmale

  • vscode-366 Code Factory: Möglichkeit zum Erstellen eines Wavedrom-Diagramms
  • vscode-388 Code Factory: Möglichkeit zum Erstellen von Instanzen
  • vscode-389 Code Factory: Möglichkeit, Signale zu erstellen
  • vscode-390 Code Factory: Möglichkeit zum Erstellen von Testbenches
  • vscode-406 Codeaktionen: Instanz-Ports nach Name/Position verbinden
  • vscode-410 Code Factory: Möglichkeit, logische Signale zu erstellen

Verbesserungen

  • vscode-396 Überschreibungs-/Schattenindikatoren anzeigen

Fehlerbehebung

  • vscode-94 Falsche Dateizuordnungen, wenn die Build-Konfiguration modusspezifische Anweisungen enthält

21.1.33 (9. August 2021)

Merkmale

  • vscode-283-Codeaktionen: Unterstützung für „Instanz aktualisieren, um mit der Moduldefinition übereinzustimmen“ hinzugefügt

Verbesserungen

  • vscode-364 Server sollte auf „localhost“ statt auf „any“ starten
  • vscode-369 Möglichkeit, einen Remote-Server zu starten, der auf „jeder“ Schnittstelle lauscht
  • vscode-393 Referenzen suchen: Einstellung hinzufügen, um nicht typgerechte Referenzen anzuzeigen/auszublenden, wenn nach Feldern oder Methoden typparametrisierter Klassen gesucht wird

Fehlerbehebung

  • vscode-399 Die Build-Ausgabe (Konsole) sollte anstelle der Protokollausgabe (Konsole) in den Fokus springen.
  • vscode-412 Arithmetische Ausnahme wird ausgelöst, wenn die Inhaltsunterstützung in Makroaufrufen ausgelöst wird

21.1.32 (3. August 2021)

Merkmale

  • vscode-272 Unterstützung für die Verifizierungshierarchieansicht hinzugefügt
  • vscode-340 Möglichkeit zum Öffnen inklusive Datei hinzugefügt

Verbesserungen

  • vscode-378 Referenzen suchen: Einstellung zum Anzeigen/Ausblenden von Funktionsüberschreibungen hinzufügen

Fehlerbehebung

  • vscode-385 Für die Diagnose sind keine Quick-Fix-Vorschläge verfügbar

21.1.31 (27. Juli 2021)

Merkmale

  • vscode-270 Möglichkeit, schreibgeschützte Dateien auszuchecken, wenn sie geändert wurden

Verbesserungen

  • vscode-301 Verissimo: Redundante Codeaktionen bei Fehlern entfernen
  • vscode-380 Verissimo: Wählen Sie automatisch den Startpunkt der neu generierten Verzichtserklärungen aus

Fehlerbehebung

  • vscode-375 Verissimo: Es können keine neuen Verzichtserklärungen mithilfe von Codeaktionen definiert werden
  • vscode-376 Verissimo: Scheckbeschreibung kann nicht geöffnet werden
  • vscode-377 Verissimo: Das Öffnen des HTML-Berichts bleibt beim Laden hängen
  • vscode-383 IllegalArgumentException wird beim Veröffentlichen von Diagnosen ausgelöst

21.1.30 (20. Juli 2021)

Merkmale

  • vscode-232 Unterstützung für Anrufhierarchie hinzufügen

21.1.29 (12. Juli 2021)

Fehlerbehebung

  • vscode-372 Der Server kann nicht gestartet werden, wenn der Arbeitsbereichsordner symbolisch verknüpft ist

21.1.28 (6. Juli 2021)

Merkmale

  • vscode-312 Möglichkeit, vordefinierte Projekte aus einem leeren Explorer oder über die Befehlspalette zu öffnen
  • vscode-371 Möglichkeit zum einfachen Erstellen eines DVT-Projekts aus einem leeren Explorer oder aus einem vorhandenen Ordner

21.1.27 (28. Juni 2021)

Fehlerbehebung

  • vscode-362 Diagramme werden in separaten Editoren gerendert, wenn einige Aktionen über das Kontextmenü ausgeführt werden
  • vscode-363 Schematische Diagramme: Die erste Option „Zur Quelle gehen“ funktioniert nicht, wenn mehrere Instanzen ausgewählt sind
  • vscode-365-Diagramme: Kontextmenüs überfluten die Containeransicht

21.1.26 (22. Juni 2021)

Merkmale

  • vscode-311 Syntaxhervorhebung in Build-Konfigurationsdateien hinzufügen
  • vscode-356 Schnellkorrektur für fehlende Datei in Build-Konfigurationsdateien hinzugefügt

Fehlerbehebung

  • vscode-359 StringIndexOutOfBoundsException beim Berechnen des „Makro erweitern“-Codes CodeLens
  • vscode-360-Bitfelddiagramme werden nicht generiert, während ein WaveDrom-Diagramm gerendert wird
  • vscode-361 Bitfelddiagramme werden in einigen Fällen nicht ordnungsgemäß generiert

21.1.25 (16. Juni 2021)

Merkmale

  • vscode-67 VSCodium in DVT-Distributionen hinzufügen
  • vscode-338 FSM-Diagramme hinzufügen
  • vscode-350 dvt hinzufügenls.sh und dvtcode.sh-Skripte zur Unterstützung der Flow-Integration (Linux)

Verbesserungen

  • vscode-324 Einstellungen zum Anzeigen/Ausblenden von Code Lens hinzufügen
  • vscode-325 Möglichkeit zum Erweitern/Reduzieren von Makros über das Kontextmenü
  • vscode-339 Einstellungen für die Diagrammgenerierung hinzufügen
  • vscode-348 Möglichkeit, mithilfe der Pfeiltasten in den Suchfeldern für Compiler-Reihenfolge und Design-Hierarchie zu navigieren
  • vscode-355 Möglichkeit, Einschränkungen über das Kontextmenü anzuzeigen

Fehlerbehebung

  • vscode-347 Beim Filtern der Designhierarchie nach Portnamen sollten die Ports, die der Abfrage entsprechen, oben im Portbereich angezeigt werden
  • vscode-354 Falsche aktive Build-Konfiguration wird in der Statusleiste angezeigt, wenn versucht wird, eine nicht vorhandene Build-Konfigurationsdatei aus der letzten Sitzung wiederherzustellen

21.1.22 (24. Mai 2021)

Fehlerbehebung

  • vscode-343 Verissimo kann nicht verwendet werden, nachdem Verzichtserklärungen für Fehler oder Prüfungen angewendet wurden
  • Die Erweiterung vscode-344 wird nach dem Erstellen einer neuen SystemVerilog-Datei in einem Nicht-DVT-Projekt nicht aktiviert
  • vscode-346-Designdiagramme: Die Aktion „Zur Quelle gehen“ funktioniert nicht für sequentielle und kombinatorische Logik

21.1.21 (18. Mai 2021)

Leistung

  • vscode-345 Verbessern Sie die Leistung bei der Auswahl der aktiven Build-Konfigurationsdatei

21.1.20 (17. Mai 2021)

Verbesserungen

  • vscode-328 Aktualisieren Sie Designdiagramme beim Navigieren durch den Design-Breadcrumb
  • vscode-335 Unterstützung für Design-Diagrammaktionen hinzufügen
  • vscode-337 Unterstützung für UML-Diagrammaktionen hinzugefügt

Fehlerbehebung

  • vscode-332 Wavedrom-Diagramme werden aufgrund einer Änderung in der VSCode-API nicht in Tooltips gerendert

21.1.14 (13. April 2021)

Verbesserungen

  • vscode-327 Möglichkeit, schematische Diagramme aus der Designhierarchie anzuzeigen
  • vscode-334 Markieren Sie die Verwendung von Klassen-Gettern/Settern bei der Suche nach einer Feldklasse als Leser/Schreiber

Fehlerbehebung

  • vscode-331 Durch das Anwenden von Filtern nach dem Ändern des Diagrammtyps wird das ursprüngliche Diagramm aktualisiert

21.1.13 (5. April 2021)

Fehlerbehebung

  • vscode-329-Inhaltsfilter werden nicht auf die Ansicht „Kompilierungsreihenfolge“ und die Ansicht „Entwurfshierarchie“ angewendet

21.1.12 (30. März 2021)

Merkmale

  • vscode-290 Design-Schaltplan, Fluss- und Blockdiagramme hinzufügen

21.1.11 (22. März 2021)

Merkmale

  • vscode-228 Unterstützung für Design Breadcrumb hinzufügen

21.1.10 (15. März 2021)

Fehlerbehebung

  • vscode-309 Inkrementeller Build wird nach dem Speichern nicht automatisch ausgelöst

21.1.9 (8. März 2021)

Merkmale

  • vscode-229 Unterstützung für die Entwurfshierarchieansicht hinzugefügt

Fehlerbehebung

  • vscode-307 Verissimo: Falsch gemeldete Fehler
  • Die Erweiterung vscode-308 wird nicht aktiviert, wenn der Arbeitsbereich keine Build-Konfigurationsdatei enthält

21.1.7 (22. Februar 2021)

Verbesserungen

  • vscode-306 Überprüfen Sie nach dem Durchsuchen des Dateisystems die ausgewählten Ausnahmen und Regelsatzdateien, wenn Sie eine neue Verissimo-Sitzung starten

Fehlerbehebung

  • vscode-304 Wenn in einem Makroaufruf mehrere Verissimo-Fehler auftreten, werden nur einige davon angezeigt
  • vscode-305 Zeigt beim Starten einer neuen Verissimo-Sitzung nur gültige Verzichtsdatei an

21.1.6 (15. Februar 2021)

Fehlerbehebung

  • vscode-300 Durch das Starten einer Verissimo-Sitzung mit einer ungültigen Waivers-Datei werden alle Probleme aus dem Projekt entfernt
  • vscode-302 WaveDrom-Diagramme: Diagramme werden beim erneuten Öffnen des Editors nicht angezeigt
  • vscode-303 Bitfeld-Diagramme: Diagramme werden für Hex-Reset-Werte nicht angezeigt

21.1.4 (2. Februar 2021)

Fehlerbehebung

  • vscode-296 Der Hyperlink zur enthaltenen Datei springt zum falschen Speicherort

21.1.2 (18. Januar 2021)

Fehlerbehebung

  • vscode-280 Beim Öffnen von VSCode mit nicht gespeicherten Dateien wurden falsche Fehler gemeldet
  • vscode-292 Compile-Waiver-Updates werden nicht immer berücksichtigt
  • vscode-293 UML-Diagramme: Falsche Schriftartskalierung von Typnamen
  • vscode-294 Bitfelddiagramme: Tooltip zeigt falsche Informationen an, wenn Sie mit der Maus über die Feldbezeichnung fahren
  • vscode-295 Bitfield-Diagramme: Auswahl funktioniert nicht, wenn auf die Feldbezeichnung geklickt wird

21.1.1 (11. Januar 2021)

Merkmale

  • vscode-281 UML-Diagramme hinzufügen

20.1.44 (21. Dezember 2020)

Merkmale

  • vscode-202 Unterstützung für Schnellkorrekturen hinzugefügt
  • vscode-284 Ansicht „Kompilierungsreihenfolge“ hinzufügen

20.1.42 (4. Dezember 2020)

Merkmale

  • vscode-44 Wavedrom- und Bitfield-Diagramm-Integration
  • vscode-190 Verwenden Sie das VSCode-Design im Verissimo-HTML-Bericht

20.1.41 (20. November 2020)

Verbesserungen

  • vscode-264 Bei TVTXXXHOME-Variablen sind nicht festgelegt, greifen auf bekannte $DVT_HOME-Speicherorte zurück
  • vscode-279 Verwenden Sie das VSCode-Design in der Verissimo Rulepool-Dokumentation

20.1.39 (9. November 2020)

Leistung

  • vscode-277 Verbessern Sie die Leistung von Tooltips mit Wavedrom-Timing und Bitfelddiagrammen

Fehlerbehebung

  • vscode-222 +dvtbauenProtokollDateiDie Standortanweisung wird beim vollständigen Build nicht berücksichtigt
  • vscode-273 Umbenennungs-Refactoring wird nicht angewendet, wenn Änderungen mithilfe von Diff in der Vorschau angezeigt werden
  • vscode-275 Das Definieren von Kompilierungsausnahmen mit einem anderen Schweregrad als DISABLED hat keine Auswirkung
  • vscode-276 NullPointerException im Sprachserver beim Berechnen von Einschränkungen einer Variablen

20.1.38 (30. Oktober 2020)

Merkmale

  • vscode-145 Möglichkeit zum Starten und Herstellen einer Verbindung zu getrennten Sprachservern
  • vscode-258 Möglichkeit, DVT einzustellenHOME und DVTLICENSE_FILE-Variablen in den Einstellungen

Verbesserungen

  • vscode-236 Fortschritt beim Berechnen von Referenzen und Arbeitsbereichssymbolen anzeigen
  • vscode-254 Refactoring erfolgt in zwei Schritten und erfordert eine Überprüfung durch den Benutzer, wenn beim Umbenennen Konflikte auftreten

Fehlerbehebung

  • vscode-189 Der Verissimo-HTML-Bericht zeigt die falsche URL zum Wiederherstellen des Berichtsstatus an
  • vscode-269 Die Verissimo-Lizenz wird nicht eingecheckt, wenn die Verissimo-Sitzung geschlossen wird

20.1.37 (23. Oktober 2020)

Fehlerbehebung

  • vscode-268 Die Neuerstellung des Projekts nach dem Abbruch des Builds funktioniert nicht

20.1.36 (16. Oktober 2020)

Merkmale

  • vscode-166 Unterstützung für Auswahlbereich hinzugefügt

Verbesserungen

  • vscode-231 Wavedrom und Bitfield in Tooltips anzeigen

Fehlerbehebung

  • vscode-265 „Alle Ebenen erweitern“ Code Lens erweitert nur die erste Ebene des Makros

20.1.35 (8. Oktober 2020)

Merkmale

  • vscode-263 Unterstützung für den Hyperlink „Gehe zur Deklaration“.

Fehlerbehebung

  • vscode-260 Verissimo-Regeln werden beim Flusen nicht in der Konsole angezeigt
  • vscode-261 Die Verissimo-Regelsatzdokumentation ändert sich beim Erstellen einer neuen Sitzung nicht

20.1.34 (2. Oktober 2020)

Merkmale

  • vscode-1 Content Assist: Unterstützung für Vorlagen hinzufügen
  • vscode-2 Content Assist: Unterstützung für automatische Instanziierung hinzufügen
  • vscode-235 Möglichkeit, Einschränkungen einer Variablen mithilfe von Code Lens anzuzeigen
  • vscode-247 Option „Datei mit Verzichtserklärungen öffnen“ hinzugefügt, um die aktive Verissimo-Verzichtsdatei zu öffnen
  • vscode-248 Option „Regelsatzdatei öffnen“ hinzugefügt, um die aktive Verissimo-Regelsatzdatei zu öffnen
  • vscode-249 Option „Regelsatzdokumentation öffnen“ hinzugefügt, um die HTML-Dokumentation des aktiven Verissimo-Regelsatzes zu öffnen
  • vscode-250 Möglichkeit zum Speichern und Ausführen der Verissimo-Sitzungskonfiguration
  • vscode-251 Option „HTML-Dokumentation generieren und öffnen“ zum Generieren und Öffnen des Verissimo-HTML-Berichts

Fehlerbehebung

  • Der vscode-252-Editor sollte nicht geteilt werden, wenn die Quickfix-Option „Prüfungsbeschreibung öffnen“ verwendet wird
  • vscode-255 Falscher Umbenennungs-Refactoring-Vorgang bei Anwendung auf nicht gespeicherte Dateien
  • vscode-257 Hyperlinks zu Makros werden nicht in Tooltips angezeigt

20.1.33 (28. September 2020)

Fehlerbehebung

  • vscode-244 Die automatische Aktualisierung von Gliederung, Referenzen und Problemen wird durchgeführt, wenn die VSCode-Einstellungen geändert werden
  • vscode-245 Der Hintergrund des inaktiven Codes wird nach einem inkrementellen oder vollständigen Build nicht aktualisiert
  • Die Schaltfläche zum Umschalten der Inhaltsfilter vscode-246 hat keine Auswirkung

20.1.32 (17. September 2020)

Merkmale

  • vscode-206 Möglichkeit, Verissimo auszuführen
  • vscode-218 Möglichkeit, zur Super-Implementierung zu springen
  • vscode-224 Möglichkeit, zur untergeordneten Implementierung zu springen

Verbesserungen

  • vscode-204 Content Assist: Möglichkeit, JavaDoc-Kommentare für generierte Funktionen und Aufgaben anzugeben
  • vscode-243 Content Assist: Kontextinformationen nach Block-End-Schlüsselwörtern anhängen

Fehlerbehebung

  • vscode-238 Content Assist: Falsche Überschreibungsvorschläge in Klassen mit mehr als 100 Funktionen

20.1.31 (11. September 2020)

Merkmale

  • vscode-75 Möglichkeit, Leser/Autoren anzuzeigen
  • vscode-129 Möglichkeit zum Erweitern/Reduzieren von Makros aus Code Lens
  • vscode-136 Content Assist: Möglichkeit, Setter und Getter zu generieren

Fehlerbehebung

  • vscode-205 Content Assist: Falsche Einrückung beim Überschreiben der Funktion
  • vscode-220 Fehler „Umbenennung konnte Änderungen nicht übernehmen“ unter Windows

20.1.30 (3. September 2020)

Merkmale

  • vscode-197 Unterstützung für Arbeitsbereichsordner hinzugefügt

Verbesserungen

  • vscode-119 Möglichkeit, Formatierungseinstellungen für Leerzeichen, Einrückungen und vertikale Ausrichtung zu konfigurieren
  • vscode-212 Verzichtserklärungen automatisch erneut anwenden, wenn Änderungen in der XML-Verzichtsdatei erkannt werden
  • vscode-214 Möglichkeit, zwischen Build-Konfigurationsdateien aus allen Arbeitsbereichsordnern zu wechseln
  • vscode-221 Content Assist: Möglichkeit zum Überschreiben von Methoden

Fehlerbehebung

  • vscode-209 NullPointerException beim Abbrechen einer Hover-Anfrage
  • vscode-219 Fehler „Ressource kann nicht geöffnet werden“ bei der Verwendung von Hyperlinks unter Windows

20.1.29 (28. August 2020)

Verbesserungen

  • vscode-199 Möglichkeit, Einstellungen für die automatische Vervollständigung zu konfigurieren

Fehlerbehebung

  • vscode-207 NullPointerException beim Auslösen der automatischen Vervollständigung innerhalb von „include „…“

20.1.28 (21. August 2020)

Leistung

  • vscode-194 Verbessert die Leistung bei der Berechnung von Arbeitsbereichssymbolen

Merkmale

  • vscode-43 Hover-Unterstützung hinzufügen

Verbesserungen

  • vscode-70 Escape-Dokumentation von Autovervollständigungsvorschlägen zur Markdown-Syntax
  • vscode-186 Sprachserver automatisch starten, wenn ein neues DVT-Projekt erstellt wird
  • vscode-192 Gibt die Meldung „Vorgang nicht verfügbar“ aus, wenn das zu suchende Element nicht aufgelöst werden konnte
  • vscode-193 Umbenennungs-Refactorings sollten die Einstellung „allowPotentialMatches“ berücksichtigen

Fehlerbehebung

  • vscode-195 Inkrementeller Build zeigt keine Syntaxfehler in nicht aktuellen Dateien an
  • vscode-196 Verissimo-HTML-Bericht wird bei Verwendung eines benutzerdefinierten Namens nicht geöffnet

20.1.27 (14. August 2020)

Merkmale

  • vscode-35 Möglichkeit, auf Probleme auf der Registerkarte „Probleme“ zu verzichten
  • vscode-46 Unterstützung für Rename Refactoring hinzugefügt
  • vscode-152 Möglichkeit, Kompilierungsverzichtserklärungen zu definieren

Verbesserungen

  • vscode-31 Möglichkeit, Dateien mit Fehlern durch Doppelklick im Verissimo-HTML-Bericht zu öffnen
  • vscode-164 Automatische Vervollständigung des Formatbezeichners nach dem %-Zeichen
  • vscode-172 Einstellung hinzufügen, um potenzielle Übereinstimmungen für Referenzen zuzulassen

Fehlerbehebung

  • vscode-30-Symbole im Verissimo-HTML-Bericht werden unter Windows nicht angezeigt
  • vscode-163 Content Assist: Umgebungsvariablen werden in Build-Konfigurationsdateien nicht als Vorschläge angezeigt
  • vscode-182 Durch die Neuerstellung des Projekts nach dem Löschen der Waivers-Datei wird das Problem nicht auf seinen ursprünglichen Schweregrad aktualisiert
  • vscode-191 Der Verissimo-HTML-Bericht wird manchmal nicht vollständig geladen

20.1.26 (30. Juli 2020)

Merkmale

  • vscode-154 Unterstützung für „Gehe zur Typdefinition“ hinzugefügt

Fehlerbehebung

  • Der vscode-160-Sprachserver wird unabhängig von den Aktivierungsereignissen sofort nach der Installation gestartet
  • Die Erweiterung vscode-174 startet nicht in Projekten mit nicht standardmäßigen Build-Dateien

20.1.25 (24. Juli 2020)

Merkmale

  • vscode-113 Unterstützung für „Signature-Hilfe“ hinzufügen

Verbesserungen

  • vscode-153 Unterstützung für Hyperlinks in Build-Konfigurationsdateien hinzugefügt
  • vscode-156 Möglichkeit zur Verwendung von Hyperlinks in Kommentaren mithilfe von @link und @see
  • vscode-159 Möglichkeit zur automatischen Vervollständigung innerhalb von „include „…“
  • vscode-162 Unterstützung für +dvt hinzufügeninkrementellkompilierenmaxlines+ Build-Konfigurationsanweisung

Fehlerbehebung

  • vscode-73 Ausnahme ausgelöst durch Offenlegen eines Baumelements in der Ansicht „Kompilierte Dateien“ nach dem Build
  • vscode-151 +dvtVorprozessDie Translate_Pragmas-Direktive wird beim vollständigen Build nicht berücksichtigt
  • vscode-158 Die automatische Vervollständigung funktioniert nur in Build-Konfigurationsdateien mit der Erweiterung .build
  • vscode-161 Verzichtserklärungen generiert von +dvtAutosnpsvipVerzichtserklärungen zur Build-Konfigurationsrichtlinie werden nicht angewendet

20.1.24 (17. Juli 2020)

Verbesserungen

  • vscode-144 Einstellung hinzugefügt, um Gliederung und Referenzen automatisch zu aktualisieren, wenn sich Inhaltsfilter ändern
  • vscode-147 Möglichkeit zur Verwendung benutzerdefinierter Inhaltsfilter (mithilfe von content_filters.xml)

Fehlerbehebung

  • vscode-5 Durch die Auswahl von inaktivem Code wird die Hintergrundfarbe des Codes nicht geändert
  • vscode-6 Der Hintergrund des inaktiven Codes wird in der zweiten Ansicht nicht angezeigt, wenn der Editor vertikal geteilt ist

20.1.23 (9. Juli 2020)

Verbesserungen

  • vscode-114 Möglichkeit zum Umschalten von Inhaltsfiltern aus der Befehlspalette
  • vscode-150 Möglichkeit, über die Befehlspalette zwischen Build-Konfigurationen zu wechseln

Fehlerbehebung

  • Die automatische Vervollständigung von vscode-4 sollte keine Vorschläge machen, wenn sie in Kommentaren ausgelöst wird

20.1.22 (8. Juli 2020)

Verbesserungen

  • vscode-7 Möglichkeit zur Verwendung von Hyperlinks für enthaltene Dateien

20.1.21 (2. Juli 2020)

Merkmale

  • vscode-121 Möglichkeit, Inhaltsfilter auf Probleme anzuwenden

Fehlerbehebung

  • vscode-142 Typen mit untergeordneten Elementen werden in den Arbeitsbereichssymbolen nicht angezeigt

20.1.20 (25. Juni 2020)

Merkmale

  • vscode-96 Unterstützung für Arbeitsbereichssymbole hinzugefügt

Verbesserungen

  • vscode-95 Möglichkeit, Inhaltsfilter auf Gliederungsansichten und Arbeitsbereichssymbole anzuwenden

Fehlerbehebung

  • vscode-107 Die Gliederungsansicht wird beim Bearbeiten einer Datei manchmal leer

20.1.19 (18. Juni 2020)

Fehlerbehebung

  • vscode-84 Die Auswahl eines Namespace-Elements aus der Liste der Autovervollständigungsvorschläge führt zu keiner Änderung in der Datei
  • vscode-103 Brechen Sie den laufenden inkrementellen Build für Dateien ab, die außerhalb des Gültigkeitsbereichs kompiliert wurden, wenn Sie sie schließen

20.1.17 (16. Juni 2020)

Verbesserungen

  • vscode-92 Kompilieren Sie Dateien beim Öffnen außerhalb des Gültigkeitsbereichs
  • vscode-104 Projekte automatisch konfigurieren, die kein default.build enthalten

Fehlerbehebung

  • vscode-102 Die Ansicht „Kompilierte Dateien“ ist beim Erweitern manchmal nicht synchron

20.1.16 (12. Juni 2020)

Fehlerbehebung

  • vscode-101 Falsche Textbearbeitung für Vorschläge zur automatischen Vervollständigung mit Ersetzungszeichenfolge, die das Zeichen $ enthält

20.1.15 (4. Juni 2020)

Merkmale

  • vscode-48 Unterstützung für Dokumentsymbole hinzufügen

Fehlerbehebung

  • vscode-61 Falscher Inhalt des Dokumentmodells beim Öffnen nicht gespeicherter Dateien in VS Code
  • vscode-99 Die Ansicht „Kompilierte Dateien“ wird nicht gelöscht, wenn während des Buildvorgangs keine Datei kompiliert wird
  • vscode-100 NoSuchFieldException beim Auslösen der automatischen Vervollständigung in default.build

20.1.14 (28. Mai 2020)

Verbesserungen

  • vscode-88 Überprüfen Sie, ob die Erweiterungsversion mit der DVT LS-Version identisch ist

Fehlerbehebung

  • vscode-69 Falsche Diagnose für Dateien, die außerhalb des Gültigkeitsbereichs kompiliert wurden
  • vscode-81 Falscher Hyperlink für Instanzen
  • vscode-83 Der durch Inaktivitätszeit ausgelöste inkrementelle Build wird nur für die zuletzt bearbeitete Datei ausgeführt
  • vscode-85 Die automatische Vervollständigung von CamelCase funktioniert nicht mit Makros
  • vscode-86 Hyperlinks funktionieren nicht sofort nach dem Öffnen großer Dateien
  • vscode-87 Falsche Priorität für Vorschläge zur automatischen Vervollständigung, die mit dem Präfix beginnen
  • vscode-89 Offene Deklaration eines Arguments von der externen Implementierung springt zum Prototyp
  • vscode-90 Falsche Meldung „Wiederaufbau wird gestartet…“ in der Statusleiste und Benachrichtigung „Erstellung läuft“ beim Auslösen eines Neuaufbaus, nachdem der Sprachserver nicht gestartet werden konnte
  • vscode-91 Die automatische Vervollständigung funktioniert nicht ordnungsgemäß, nachdem am Ende eines sequentiellen Blocks die Eingabetaste gedrückt wurde

20.1.12 (21. Mai 2020)

Verbesserungen

  • vscode-8 Unterstützung für automatische Vervollständigung in default.build hinzugefügt
  • Der inkrementelle Build von vscode-10 sollte Fehler in default.build aktualisieren
  • vscode-78 Variable Platzhalter beim Einfügen von Funktionen mit Argumenten aus Autovervollständigungsvorschlägen

Fehlerbehebung

  • vscode-79 NullPointerException beim Auslösen eines Hyperlinks für ein Element mit Definition in einer anderen Datei

20.1.11 (15. Mai 2020)

Merkmale

  • vscode-47 Unterstützung für Referenzen hinzugefügt

Verbesserungen

  • vscode-65 editor.quickSuggestions für System-Verilog-Dateien deaktivieren

Fehlerbehebung

  • vscode-33 Erweitert die Ansicht „Kompilierte Dateien“ automatisch, nachdem der vollständige Build abgeschlossen ist
  • vscode-66 Autocomplete-Vorschläge werden während der Eingabe nicht korrekt aktualisiert
  • vscode-74 Zeigt Dateien in der Ansicht „Kompilierte Dateien“ nur an, wenn sie erweitert ist
  • vscode-76 Falscher Hyperlink für Elemente, die in nicht gespeicherten Dateien definiert sind

20.1.10 (11. Mai 2020)

Fehlerbehebung

  • vscode-40 Fehlende Vorschläge in der VSCode-GUI
  • vscode-42 Fehlender Rückgabetyp in Angebotsbezeichnungen
  • vscode-72 Falsche Bearbeitung der automatischen Vervollständigung, wenn die Ersetzungszeichenfolge nicht mit dem Präfix beginnt

20.1.9 (30. April 2020)

Merkmale

  • vscode-36 Protokollierungskonsole
  • vscode-37 Möglichkeit, Nachrichten zwischen Client und Server zu verfolgen
  • vscode-45 Codeformatierung

Fehlerbehebung

  • vscode-21-Diagnosen für Dateien, die außerhalb des Gültigkeitsbereichs kompiliert wurden, werden beim Schließen der Dateien nicht gelöscht
  • vscode-28 Falsche Meldung „Rebuild wird gestartet…“ in der Statusleiste und Benachrichtigung „Build in progress“ beim Auslösen des Rebuilds ohne Lizenz

20.1.7 (10. April 2020)

Fehlerbehebung

  • vscode-34 Die Dateiauswahl in der Ansicht „Alle Dateien“ wird nicht geändert, wenn Sie mit der Maus über ein Element in der Datei fahren
  • vscode-38 Offene Deklaration und automatische Vervollständigung funktionieren unter Windows nicht, wenn absolute Pfade in default.build verwendet werden
  • vscode-39 Durch das Auslösen einer offenen Deklaration wird der Fokus auf die Ansicht „Alle Dateien“ verschoben

20.1.5 (26. März 2020)

Merkmale

  • vscode-13 Möglichkeit, automatisch verknüpfte Dateien im Explorer anzuzeigen

Fehlerbehebung

  • vscode-29 Verissimo HTML-Bericht wird unter Windows nicht in einer Webansicht geöffnet

20.1.4 (20. März 2020)

Fehlerbehebung

  • Die vscode-22-Erweiterung funktioniert unter Windows nicht

20.1.1 (21. Februar 2020)

  • Erstveröffentlichung
Top Articles
Latest Posts
Article information

Author: Rubie Ullrich

Last Updated: 06/20/2023

Views: 5249

Rating: 4.1 / 5 (52 voted)

Reviews: 91% of readers found this page helpful

Author information

Name: Rubie Ullrich

Birthday: 1998-02-02

Address: 743 Stoltenberg Center, Genovevaville, NJ 59925-3119

Phone: +2202978377583

Job: Administration Engineer

Hobby: Surfing, Sailing, Listening to music, Web surfing, Kitesurfing, Geocaching, Backpacking

Introduction: My name is Rubie Ullrich, I am a enthusiastic, perfect, tender, vivacious, talented, famous, delightful person who loves writing and wants to share my knowledge and understanding with you.